Analyst: 193nm requirements driving resists market

Analyst: 193nm requirements driving resists market
May 16, 2007 – Extending 193nm lithography through the 45nm and 32nm nodes while EUV litho struggles to gain traction will require performance improvements such as multilayer patterning and multiple exposure schemes, driving more use of spin-on patterning materials (aka resists), according to a report from Linx Consulting. Analyst Mark Thirsk reviews his firm’s outlook for the resist market, indicating which areas will see the most growth and where are the best chances for market opportunities.

The analyst firm projects the resist market will grow about 7% this year to $1.5 billion, and expand by roughly 9%/year through 2011 to exceed $2.0 billion. But within those numbers is a clear division between the little or no growth expected for “older” resist materials (e.g. g-line, i-line, DUV), vs. the newer crop of materials for 193nm and related litho technologies, such as spin-on hard masks, amorphous carbon layers, and silicon containing BARCs. 193nm photoresist will see 20% growth in demand from 2007 to 2011, the firm projects, with 29% increase for 193nm BARCs and 55% growth in TARC.

In an interview with WaferNEWS , Thirsk broke out growth projections for individual resist technologies through the end of this decade (see table), which clearly shows where the market is going. There’s almost no growth from 2006-2011 for g-line, i-line, as the industry migrates to new geometries, he noted (DRAM, for example, uses i-line for many noncritical levels but is shifting to use of 248nm resists). Still, these materials have a home in older devices (e.g. analog, bipolar ICs, and a variety of CMOS logic). “It’s a perfectly viable material down to around 350nm,” he said.


Resist market, 2006-2011
(Revenues in US $B)

……………………………….2006……….2007……….2008……….2009……….2010……….2011…….CAGR (%)
g-line, i-line………………..545………….500………….551………….573………….571………….551………….0%
248nm resist………………428………….449………….498………….504………….500………….453………….1%
193nm resist………………210………….303………….419………….468………….523………….606…………24%
BARC
(all wavelengths)………..188………….235………….300………….333…………365………….383…………15%
Multilayer
materials………………………..1……………..11……………20…………….34…………….54…………….76……..139%

WaferNEWS source: Linx Consulting


Use of DUV and 248nm resists will peak around 2009-2010 as a ~$500 million market, and these materials “still have a lot of legs” with use in many implant levels, and viable resolution down to ~100nm depending on the toolset, Thirsk noted. With advanced logic using an increasing number of implant levels that means more volumes of these resists are needed — but these are also relatively low-priced materials, so growth is less pronounced.

Clearly, the lion’s share of the market will belong to 193nm resists, seen enjoying 24% CAGR from 2006-2011, Thirsk said, pointing to requirements by a variety of high-volume applications: DRAM, NAND flash, advanced logic e.g. DSPs, many MPUs, and chipsets for PCs and cell phones. By the 2009-2010 timeframe, look to see more critical implant levels move to 193nm as well, he said.

Another main growth segment is 193nm BARCs (bottom antireflective coatings), which Thirsk pegs at $38 million in 2006, surging to $137 million by 2011.

Just emerging on the scene, multilayer schemes are more prevalent in dry and immersion lithography, because resists can’t do all the things needed at 65nm and 45nm manufacturing nodes (e.g. build images and resist the etch process). Thirsk noted the continuing “devolution” of reflection control into inorganic ARCs, but said there would be significant growth in other multilayer materials: spin-on hard masks, planarizing layers, and silicon BARCs.

Spin-on hardmasks, he explained, “are a compromise between processibility and silicon content,” addressing the challenge of making photoresists and hardmask materials compatible as silicon content increases. “Building a suitable etch process for a hardmask and underlayer is a little more difficult,” Thirsk explained, noting that process/integration engineers are now getting optimum 15%-20% silicon in the film vs. the 30%-40% they want, though some suppliers have figured out how to incorporate multiple layers that add up to 40% Si content.

Thirsk projects this “nascent” multilayer materials segment, only about $1-$2 million in sales today, will explode into an $84 million market by 2011, thanks to benefits it provides in terms of line-edge roughness control, and reducing high-aspect ratio structures. He noted some chipmakers are talking about FinFET-like structures, which need to be planarized before high-aspect-ratio etch steps. He cited Samsung as reportedly using a process “that looks something like a FinFET” (though really a multigate), which adds a third dimension into the lithography, so the company is turning to spin-on hardmasks.

Since resist development is such a costly business, only a few firms are moving ahead with new technologies to take advantage of growth opportunities, Thirsk noted. A basic setup for this involves equipment for exposure, a track, and metrology, easily a $30 million toolset, all in a state-of-the-art cleanroom setup, he said. And with ballpark estimates of >10% spending going to R&D, that means only a few players can even attempt advanced 193nm resist development: TOK, JSR, maybe Shin-Etsu and Fujifilm. One exception to the rule is Dongjin in South Korea, which has ~2% marketshare but has built a strong following as a domestic supplier and is expanding into North America and Taiwan.

The photoresist market clearly is crowded with steep & costly entrance requirements, but there are still openings for companies to find profitable niche areas, Thirsk said. Ancillaries, for example, has attracted the likes of Brewer Science and Honeywell, which are “coming in and taking significant share with essentially spin-on products,” he noted, though adding that these are basically polymers, not resists. Honeywell does have a spin-on sacrificial dielectric that acts as an antireflective coating, taking about 16% of the $150 market, though primarily thanks to a couple of customers.

Other companies on the fringes include Dow Corning, with a lot of experience in polymers, and Cheil has a captive customer in Samsung and is seeing use in Taiwan as well, Thirsk noted. For companies looking to expand resists beyond the semiconductor market, there is some crossover with flat panel manufacturing, though once you get to 193nm and beyond the materials really are highly specialized for chipmaking, Thirsk noted.

One resist material that’s enjoyed a good run, but is looking at a dead end: “barrier/topcoats”, a class of materials used to seal photoresist from the immersion fluid. These will likely become obsolete as photoresists are improved and become more compatible with the substrate, Thirsk noted.

The supplier base for resist materials has shifted largely to Japan, which held 77% of last year’s $1.15 billion resist market — Thirsk noted that Japan suppliers have excelled in resist development for years, and much of the raw materials for resists are in Japan as well. JSR Micro and Tokyo Ohka Kogyo Co. Ltd. together hold nearly half the market (47%) for positive photoresists, according to Thirsk’s numbers; for 193nm resists, JSR Micro, TOK, Shin-Etsu, and FujiFilm Electronic Materials wield a combined 86% of the $234 million market. But the US does have a significant presence in the $248 million market segment for ancillary resist materials, with Brewer Science, Rohm & Haas Electronic Materials, and AZ Electronic Chemicals holding a combined 70% share. — J.M.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.