Category Archives: LEDs

Rudolph Technologies, Inc., a provider of process characterization, photolithography equipment and software for the semiconductor, FPD, LED and solar industries, today released three new application-specific configurations of its industry-leading NSX 320 Automated Macro Defect Inspection System. The suite includes specially-designed configurations for wafer level packaging, 2.5D (interposer) and 3DICs using through-silicon via (TSV) as interconnects. The first NSX 320 Metrology System for wafer level packaging shipped in June to a major outsourced assembly and test (OSAT) facility in Asia.

“These new application-specific configurations of our established NSX 320 System are designed to address the emerging need for fast, precise three-dimensional (3D) measurements in the rapidly growing advanced packaging market sector,” said Rajiv Roy, vice president of business development and director of back-end marketing at Rudolph Technologies. “We have completed the integration of 3D measurement sensors, recently acquired from Tamar Technology, into the NSX System. Tamar’s sensor technology is well recognized and widely used, and integrating it into the NSX 320 System adds critical capability required for enabling advanced packaging applications such as copper pillar bumping and TSV.”

The NSX 320 wafer level packaging configuration is designed to measure film thickness (polymers, photoresist, glass), thin remaining silicon thickness (RST), surface topography, copper pillar height and solder bump height. The advanced wafer level packaging configuration adds measurements of the wafer profile (warp and bow), total stack thickness and thick/thin RST (bonded wafer before and after grind). The 3DIC configuration is capable of all the above measurements plus via depth, trench depth, bonded wafer TTV and adhesive layers.

Roy stated, “3DIC device volume is forecasted to grow to $38.4B by 2017, according to Yole Développement. Rudolph is positioned to address the growth requirements for wafer level packaging, as well as 2.5D and other advanced packaging technologies, with industry-proven metrology tools that offer superior speed and measurement solutions.”

Ulsan National Institute of Science and Technology (UNIST) researchers report considerable improvement in device performance of polymer-based optoelectronic devices. Published in Nature Photonics, the new plasmonic material, can be applied to both polymer light-emitting diodes (PLEDs) and polymer solar cells (PSCs), with world-record high performance, through a simple and cheap process.

The contrary demands of these devices mean that there are few metal nanoparticles that can enhance performance in PLEDs and PSCs at the same time.

Most semiconducting optoelectronic devices (OEDs), including photodiodes, solar cells, light emitting diodes (LEDs), and semiconductor lasers, are based on inorganic materials. Examples include gallium nitride for light-emitting diodes and silicon for solar cells.

Due to the limited availability of raw materials and the complex processing required to manufacture OEDs based on inorganic materials, the cost of device fabrication is increasing. There is great interest in thin-film OEDs that are made from alternative semiconductors.

Among these materials, organic semiconductors have received much attention for use in next-generation OEDs because of the potential for low-cost and large-area fabrication using solution processing.

Despite extensive efforts to develop new materials and device architectures enhancing the performance of these devices, further improvements in efficiency are needed, before there can be widespread use and commercialization of these technologies.

The material prepared by the UNIST research team is easy to synthesize with basic equipment and has low-temperature solution processability. This low-temperature solution processability enables roll-to-roll mass production techniques and is suitable for printed electronic devices.

“Our work is significant also because it anticipates the realization of electrically driven laser devices by utilizing carbon dot-supported silver nanoparticles (CD-Ag NPs) as plasmonic materials.” says said Prof. Byeong-Su Kim. “The material allows significant radiative emission and additional light absorption, leading to remarkably enhanced current efficiency.”

Surface Plasmon resonance is an electro-magnetic wave propagating along the surface of a thin metal layer and the collective oscillation of electrons in a solid or liquid stimulated by incident light. SPR is the basis of many standard tools for measuring adsorption of materials onto planar metal (typically gold and silver) surfaces or onto the surface of metal nanoparticles.

The team demonstrated efficient PLEDs and PSCs using surface Plasmon resonance enhancement with CD-Ag NPs. The PLEDs achieved a remarkably high current efficiency (from 11.65 to 27.16 cd A-1) and luminous efficiency (LE) (from 6.33 to 18.54 lm W-1).

PSCs produced in this way showed enhanced power conversion efficiency (PCE) (from 7.53 to 8.31 percent) and internal quantum efficiency (IQE) (from 91 to 99 percent at 460nm). The LE (18.54 lm W-1) and IQE (99 percent) are among the highest values reported to date in fluorescent PLEDs and PSCs, respectively.

“These significant improvements in device efficiency demonstrate that surface Plasmon resonance materials constitute a versatile and effective route for achieving high performance polymer LEDs and polymer solar cells,” said Prof. Jin Young Kim. “This approach shows promise as a route for the realization of electrically driven polymer lasers.”

The fellow researchers include Hyosung Choi, Seo-Jin Ko, Yuri Choi, Taehyo Kim, Boram Lee, and Prof. Myung Hoon Song from UNIST, and researchers from Chungnam National University, Pusan National University, and Gwangju Institute of Science and Technology.

This research was supported by a WCU (World Class University) program through the Korea Science and Engineering Foundation funded by the Ministry of Education, Science and Technology, the National Research Foundation of Korea Grant, the Korea Healthcare technology R&D Project, the Ministry of Health & Welfare, Korea and the International Cooperation of the Korea Institute of Energy Technology Evaluation and Planning (KETEP) grant funded by the Korean government Ministry of Knowledge Economy.

 

As LED lighting becomes an $80 billion industry, the market for the epitaxial wafers (epi-wafers) LEDs are made from will grow to $4 billion in 2020, according to Lux Research.

The vast majority of these epi-wafers are gallium nitride (GaN)-on-sapphire today. GaN-on-silicon is the leading emerging technology with a strong economic allure – silicon is just one-eighth the cost of a sapphire substrate – but technical challenges will limit it to only a 10% market share in 2020. GaN-on-silicon carbide (SiC), championed by Cree, will grow to 18 percent market share.

epi wafer market

“Silicon is already widely used for electronics, and some LED die manufacturers are hoping to take advantage of silicon substrates,” said Pallavi Madakasira, Lux Research Analyst and lead author of the report titled, “Dimming the Hype: GaN-on-Si Fails to Outshine Sapphire by 2020.”

“But GaN-on-Si is more prone to cracking than GaN-on-sapphire, and mitigating this mismatch is expensive,” she added.

Lux Research analysts studied the market for GaN-on-sapphire, GaN-on-SiC, GaN-on-bulk GaN, and GaN-on-Si epi-wafers, evaluating each technology’s economic prospects as the industry moves to larger wafer sizes. Among their findings:

  • Choice and cost of LEDs will determine adoption. Where GaN-on-sapphire is suited to all applications, GaN-on-bulk GaN will be relegated to niche commercial lighting and GaN-on-Si, with unproven performance, will be better suited to cost-sensitive residential applications.
  • Four-inch wafers will rule, though six-inch wafers start to come into vogue. Four-inch wafers will peak at 62 percent market share with $2.1 billion in 2017 sales. Later, the LED industry will move towards 6” epi wafers, which will take a 35% share, equivalent to $1.4 billion, in 2020.
  • Technology will advance sapphire substrates. Sapphire substrate manufacturing technology has advanced significantly with specialists such as Rubicon and Monocrystal demonstrating substrates up to 12 inch in diameter. New methods like hydride vapor phase epitaxy (HVPE) will further improve throughput and cut costs, keeping sapphire highly competitive for the rest of the decade.

The report, titled “Dimming the Hype: GaN-on-Si Fails to Outshine Sapphire by 2020,” is part of the Lux Research Energy Electronics Intelligence service.

DAS Environmental Expert GmbH of Dresden, Germany, has developed SALIX, a point-of-use system for removing waste gas pollutants in semiconductor wafer manufacturing wet bench applications. This solves a common problem where gases from the solvents, acids and alkaline materials used in wet processing combine to form a powder in the exhaust line. This powder can be a “toxic bomb” according to Dr. Horst Reichardt, CEO and president of DAS, or at least cause throughput and cost issues since the exhaust may have to be cleaned every one to two days.

The single-wafer cleaning process widely used for cleaning 300-millimeter wafers in wet benches distributes cleaning agents onto rapidly spinning single wafers and spins them off at the edge where baffle plates within the system collect the water, acidic and alkaline chemicals, and volatile solvents (the process for cleaning 200-millimeter wafers immerses the entire cassette). A large fab may have 20-30 such wet benches. With up to 12 stations per wet bench and exhaust from each chamber requiring several exhaust systems, the SALIX scrubber eliminates the need for elaborate change-over modules to avoid deposition in the pipes. It is more cost-effective and efficient at preventing clogging than conventional approaches used to separate and extract the acids, alkalines and solvents in the exhausts which require separate suction to prevent particle buildup and condensation within the pipes.

In contrast, SALIX removes the harmful substances from the gas stream directly at their point of origin using a two-stage scrubber process of chemical and physical absorption, and can treat up to 3600 m3/h of raw gas. Separate inlets feed the harmful gases from the wet bench process chambers into a SALIX pre-scrubber that pre-cleans the gas using spray nozzles. Next the waste gases pass into the first scrubber stage and then a second stage that uses a different scrubbing liquid. The remaining clean gas then can be released safely into the air without any danger to the technology or the environment. Because the SALIX system does not require any air dilution, the clean air remains in the clean room, further reducing cost.

Dr. Guy Davies, director of the Waste Gas Abatement business unit at DAS Environmental Expert explained, “When a global foundry based in Taiwan came to us seeking a better solution to treat water-soluble exhaust gases from a wet bench application, we installed SALIX as a first-of-its-kind point-of-use system. It has been running there since January of this year and, after six months of operation, emissions measurements show zero harmful substances in the exhaust. One SALIX system per wet bench is all that’s needed, and just one pipe for the cleaned exhaust. Salix “offers a smaller footprint with no switching boxes needed, and is far more cost-effective and efficient than central scrubbers for treating processes that create water-soluble waste gases. We believe our proven SALIX solution, which is SEMI S2 international and German TA-Luft standards compliant, opens new markets for point-of-use scrubbers in the semiconductor, LED, PV and FPD industries. In fact, we have seen increasing interest in SALIX and already have received multiple inquiries from U.S. customers. In addition, we are using the evaluation results for further process-based optimization and have developed a custom fit bypass function that will enable production to continue with no interruption.

DAS also announced it has added Technica, U.S.A. as a new local service partner to deliver faster response time for service and maintenance for U.S. customers.

Smart lighting is an advance technology in lighting that makes use of intelligent lighting control systems to intelligently control light based on various parameters like occupancy, movement, color temperature, amount of natural/daylight etc. Smart lighting market is growing at a phenomenal way and main drivers for this growth are energy efficiency, development in electronics and sensor technology, eradication of incandescent lamps, favorable government policies and evolution of novel wireless technology. Entry barriers are low for this market and many new players are arriving in this market. For example: the smart lighting market in the U.S. is being dominated by start-ups that are just three years old. In the present scenario, many companies are launching new products in the market. It can be observed that LED-based products which are based on wireless technology are being launched at a large scale at present.

At present, Europe has the largest market for smart lighting especially in commercial industrial buildings, outdoor lighting, and automobiles applications. The presence of lighting giants like Philips (The Netherlands), Osram Gmbh (Germany) and Zumtobel AG (Austria) is an important reason behind the implementation of smart lighting system in this region. Smart lighting has the second largest market in North America. Several new players have emerged in this region, especially in the U.S., who has developed breakthrough products related to smart lighting. APAC is the emerging market for smart lighting. It is believed that market will grow at an exponential rate of 37.7 percent between 2013 and 2018.

Commercial and industrial buildings are the most prominent application of smart lighting. In commercial buildings, lighting adds up to 40 percent of total energy cost. Deployment of intelligent lighting control is being supported by building owners, governments, utilities, and many other stakeholders as it helps to drastically reduce energy consumption. Public and government building have the second largest share among all application in the smart lighting market. As smart lighting projects for public and government buildings are government-funded projects, the growth of this application area will be stable as it would be given priority in every economy. Residential buildings application has largest growth potential and will grow at the highest CAGR of 87.5 percent from 2013 to 2108 when compared with other application. Initial investment will pose as a restraint initially, however it is predicted that once the customers become aware of the energy savings benefits of intelligent lighting system in the long run, it will grow exponentially. Outdoor lighting application is another promising application. The greatest opportunity area in this market is the prospect of its integration with other important systems in the city like traffic signals, energy meters, pollution sensors, parking-lot lights, and traffic sensors to form a smart city. Smart lighting systems are mainly employed by high end cars. Companies like Mercedes-Benz and Audi have already incorporated the systems in their luxury cars.

 

SEMICON Taiwan is set to open in September amidst an improving global and regional outlook for 2013 and 2014 that sees Taiwan remaining the largest and strongest market for semiconductor manufacturing. SEMICON Taiwan 2013, to be held September 4-6 at the Taipei World Trade Center Nankang Exhibition Hall, will spotlight the latest developments in processes, equipment, materials, and emerging market opportunities in microelectronics manufacturing from more than 650 exhibiting companies and more than 110 speakers from the world’s leading technology companies and research organizations.

Bucking the global trend of contraction in semiconductor spending, Taiwan has continued to build its position as the leading market for semiconductor equipment through the first half of 2013. According to the Worldwide Semiconductor Market Statistics report published by SEMI and the Semiconductor Equipment Association of Japan (SEAJ), spending on semiconductor equipment in Taiwan in the first quarter of 2013 rose to US$ 2.8 billion, 31 percent above Q4 2012 and 60 percent higher than the first quarter of 2012. The latest SEMI Consensus Forecast projects the Taiwan equipment market will rise more than 9 percent in 2013 and another two percent in 2014 to reach $10.6 billion, maintaining Taiwan’s status as the world’s largest equipment market.

"While the global market is looking towards recovery in 2014, Taiwan is building its strength and growing now," said Terry Tsao, president of SEMI Taiwan. "New electronic products and technologies, including mobile devices and 3D printing, are creating entirely new opportunities for microelectronics and driving the need to push the limits of Moore’s Law to enable the next generation of innovations. The technologies, companies, and people that will get us there are the highlight of SEMICON Taiwan."

In addition to the company exhibits and product displays, SEMICON Taiwan 2013 will feature more than 50 hours of technical and business forums, including presentations from global and regional industry leaders ASE, GLOBALFOUNDRIES, IBM, Micron, STMicroelectronics, TSMC, and Qualcomm among others. Scheduled sessions include the SEMICON Taiwan Executive Summit, the IC Design Summit, Market Trends, Memory Executive Summit, CMP Forum, Lithography/Mask Symposium, Advanced Packaging Symposium, Green Manufacturing, and sessions on MEMS and LED manufacturing.

Complementing the technical and business programs at SEMICON Taiwan, the third SiP Global Summit, Taiwan’s leading conference focused on advanced packaging and test, will feature speakers and participation from leading companies including Amkor, SPIL, SPTS, Nanya, PCB, Unimicron, Teradyne, Qualcomm, Yole Développement, SUSS MicroTec, and Senju sharing their insights and solutions for accelerating volume 3D IC production. In addition to support from the SEMI Taiwan Packaging and Test Committee, the SiP Global Summit is also coordinated in conjunction with the Fraunhofer Institute, I-Shou University, and the Industrial Technology Research Institute (ITRI).

SurplusGLOBAL, Inc. participated in Semicon West 2013. SurplusGLOBAL CEO, Bruce Kim forecasted the increase in demand in the Asia Secondary Equipment Market.

Bruce Kim, CEO  of SurplusGLOBAL, has participated in Semicon West for the past 7 years and stated, “We are more optimistic about the growth in the Asian Secondary Semiconductor Equipment Market in the years to come.”

Secondary semiconductor equipment addresses both environmental and cost concerns within the industry. The secondary equipment market size has experienced continuous growth over the past three years. In 2012 the market size was estimated to be around 3 billion US dollars, with 200mm wafer capturing 90% of this market. In 2013, demand of 300mm wafer equipment is expected to capture an increased share of the demand.

With the growth in demand, distribution and services are becoming more critical every year. The number of Fab facilities in the United States and Europe continues to experience decline, while in Asia there has been continued investment in the China, Taiwan and South Korean markets. The Asian market accounts for approximately 80% of the semiconductor equipment market. The Asian secondary equipment market has been experiencing continued growth and global, financially stable traders such as SurplusGLOBAL are well positioned to lead the supply of this equipment.

The market has been very slow for last two years in Asia since the second half of 2011.  Most of the Asian players enjoyed the market recovery in Year 2010 and the 1st half of 2011.  After then, utilization rates at Foundries plummeted to levels, LED fabs suffered from slow demands and price pressures. The sales revenues of Asian dealers and refubishers have declined up to 70 percent.  These days we can see several ongoing expansion plans mainly from Taiwan and China Foundries as well as a few new Fab plans in China.  LED Fabs are resuming the purchase of tools.  Analog and Power device makers are adding bottleneck tools.  The demands of Fab tools from packaging companies are increasing.   

Bruce Kim commented “The major market drives are Foundries who want to expand their capacity or build new Fabs mainly in Taiwan and China. There are increasing demands of secondary equipment in mature technology including not only 8 inch silicon wafer, but also LED, packaging and MEMS."

To date, this demand has been driven by both 200mm FAB front and backend tools. We project starting growth of 300mm FABs in Asia. Powerchip sold hundreds of 300MM tools in the 1st Half to many Asian Fabs.  GLOBALFoundries acquired a thousand of Fab tools from Promos and sold many of them to China new fab recently. Bruce Kim mentioned,   “300mm Fabs have difficulty in purchasing secondary equipment because of insufficient support from equipment suppliers, so SurplusGLOBAL expects it will take considerable time for the  300mm secondary equipment market to take off.”

SurplusGLOBAL locates, sells and stocks thousands of systems annually and has established an extensive global network of end users, refurbishers and brokers. SurplusGLOBAL specializes in semiconductor manufacturing equipment acquired from the leading chip manufacturers in the United States, Europe and Asia covering Fab, ATE and PCB/SMT capital equipment segments.

Battered by the nonstop onslaught of media tablets, the mobile PC market in 2013 delivered the worst second-quarter performance in 11 years, according to preliminary data provided by a PC Dynamics Market Brief from information and analytics provider IHS (NYSE: IHS).

Worldwide mobile PC shipments in the second quarter shrank a steep 6.9 percent compared to the first three months of the year, based on initial findings. This represented the first time the industry experienced a sequential decline since the second quarter of 2002. At that time, mobile PC shipments contracted 3.7 percent after the dot.com bust flattened global demand.

In the 10 years between those two low points, the mobile PC space had always strengthened in the second quarter as shipments recovered from a normally soft start to the year. Excluding 2002 and this year, growth for every second quarter during the intervening years had ranged from 0.5 percent to as much as 6.5 percent, as shown in the attached figure. Just last year, the industry enjoyed a 3.9 percent increase for the period.

The depressed results are not confined to the second quarter alone. When the overall first half is considered, 2013 has made history as having the poorest performance since 2003, posting a harsh 11.2 percent contraction compared to the same six-month period a year ago. How much the market has fallen can be seen by the magnitude of growth attained in the previous years. Only three years ago in 2010, mobile PC shipments surged by 41.7 percent in the first half.

“Representing devices such as traditional notebook PCs as well as the new thinner ultrathin/Ultrabook laptops, the mobile PC industry on the whole is struggling to find any momentum for growth as upheavals rock the market,” said Craig Stice, senior principal analyst for compute platforms at IHS. “In particular, more nimble devices like media tablets have taken over among consumers given their ease of use and unique form factor. Meanwhile, innovation in PCs has stagnated, and the recent influx of low-cost tablets has further eaten into an already decimated mobile PC space. With such dire numbers, many are wondering whether this signifies the start of more record declines for mobile PCs, or if the industry has hit rock-bottom.”

High hopes for low costs

An infusion of lower-cost PCs that deliver higher performance but consume less power than current laptops could save the market, IHS believes. Processors like Bay Trail from Intel Corp. and Temash from rival chipmaker Advanced Micro Devices Inc. can go beyond what traditional entry-level processors have been able to provide, and PC makers are contemplating a new class of performance PCs that would incorporate the new processors at affordable prices.

Hopes also remain alive within the industry on prospects for the much more expensive ultrathin and Ultrabook PC models, where growth could still be expected if their prices come down and if consumers can get used to the new Windows 8 operating system after a rocky launch.

The PC that refreshes

With everything considered, a PC refresh buying cycle is more than likely to occur, IHS believes.

‘Despite the broad appeal of media tablets, the devices won’t be able to fully replace PCs, and consumers will continue to need the computational power of personal computers,” Stice said. “If a new low-cost PC offering strong performance can become available on the market and meet consumer expectations, then PCs could be set for more growth—not like the glory days of the 2000s—but growth nonetheless.”

A year to forget

Despite this, 2013 is very likely a write-off at this point. Even with growth expected to occur in the second half, it’s too late given the depressed first-half results that any positive expansion could occur in both the mobile PC segment and the overall PC market.

A full downturn is projected for total PC shipments in 2013, which would make this the second consecutive year of decline, after the contraction of the market last year for the first time since 2001.

SEMI honored 14 industry leaders for their outstanding accomplishments in developing standards for the microelectronics and related industries. The SEMI Standards awards were announced at a reception held during SEMICON West 2013.

The 2013 SEMI International Standards Excellence Award, inspired by Karel Urbanek, is the most prestigious award in the SEMI Standards Program. Yesterday, it was awarded to Dr. Larry Hartsough of UA Associates.  Hartsough has been actively involved in SEMI Standards for over 20 years, serving in a variety of leadership positions. With over 30 years’ experience in the industry in the areas of thin-film deposition, equipment design and plasma processing of materials, he was instrumental in the development of cluster tool and 300mm interface Standards for semiconductor equipment. Additionally, Hartsough’s expertise in patent litigation was invaluable in guiding the Physical Interfaces and Carriers Committee on intellectual property issues. Long-term, committed leaders like Hartsough provide continuity and excellence to the SEMI Standards Program. The Award recognizes the leadership of Karel Urbanek, a SEMI Board of Directors member who was a key figure in the successful globalization the Standards Program.

In addition, the recipients of four major North American SEMI Standards awards were announced:

The Merit Award recognizes Standards Program Member major contributions to the semiconductor, PV, and related industries through the SEMI Standards Program.  Award winners typically take on a very complex problem at the task force level, gain industry support, and drive the project to completion. This year, seven Program Members were presented with the Merit Award for their contributions to the semiconductor, PV, 3D-IC, and HB-LED industries: 

  • Contribution to the PV Industry: Existing SEMI test methods did not provide the ability to measure a broad range of trace elemental impurities in silicon feedstock for solar cells. Through the International PV Analytical Test Methods Task Force, Hugh Gotts (Air Liquide Electronics U.S.) led the development of SEMI PV49-0613, Test Method for the Measurement of Elemental Impurity Concentrations in Silicon Feedstock for Silicon Solar Cells by Bulk Digestion, Inductively Coupled-Plasma Mass Spectrometry.
  • Contribution to the HB-LED Industry: The 150mm sapphire wafers used for manufacturing HB-LED devices are thicker than standard silicon wafers used in the semiconductor industry— making it difficult to use the same cassettes and standards. SEMI HB-LED Equipment Automation Task Force leaders, Jeff Felipe (Entegris) and Daniel Babbs (Brooks Automation) led the development of SEMI HB2-0613, Specification for 150mm Open Plastic and Metal Wafer Cassettes Intended for Use for Manufacturing HB-LED Devices. This cassette standard also enables standardization of load ports and transport systems, resulting in both direct and indirect cost savings throughout the whole supply chain.
  • Contributions to the 3DS-IC Industry: Establishing common understanding and precise communication between stakeholders is important in any manufacturing supply chain, including 3DS-IC. North America 3DS-IC Inspection & Metrology Task Force leaders, David Read (NIST) and Victor Vartanian (SEMATECH), led the successful development of the first 3DS-IC standard published by SEMI, SEMI 3D1-0912: Terminology for Through Silicon Via Geometrical Metrology. It provides consistent terminology for metrology issues important to through silicon vias (TSV), including: pitch, top CD, top diameter, top area, and more. Read and Vartanian were also responsible for the successful development of two other 3DS-IC SEMI Standards — SEMI 3D4 (Bonded Wafer Stack Metrology) and SEMI 3D5 (TSV Metrology).
  • Ilona Schmidt (Corning) was the key developer of SEMI 3D2-0113, Specification for Glass Carrier Wafers for 3DS-IC Applications.  SEMI 3D2 describes dimensional, thermal, and wafer preparation characteristics for glass starting material that will be used as carrier wafers in a temporary bonded state.
  • Contribution to the Semiconductor Industry: Manufacturing equipment is complex, which makes it susceptible to operating errors due to electromagnetic interference (EMI).  SEMI E33 provides recommendations to help assure that manufacturing equipment will operate reliably without failures caused by electromagnetic interference (EMI).  This desired characteristic is generally known as electromagnetic compatibility (EMC). Last year SEMI E33 went through an extensive revision led by technical expert Vladimir Kraz (BestESD Technical Services).

The Leadership Award recognizes Program Members’ outstanding leadership in guiding the SEMI Standards Program.  Since the formation of the HB-LED Technical Committee in late 2010, Julie Chao (Silian Sapphire) and David Joyce (GT Advanced Technologies) have led the Wafer Task Force in defining the physical geometry of wafers used in HB-LED manufacturing.  Their efforts resulted in SEMI HB1-0113, Specifications for Sapphire Wafers Intended for Use for Manufacturing High Brightness-Light Emitting Diode Devices— SEMI’s first HB-LED standard. As task force leaders, Chao and Joyce fostered industry collaboration, travelling to global SEMI events and attracting new key stakeholders, ensuring global input and consensus.

The Honor Award, given to an individual who has demonstrated long-standing dedication to the advancement of SEMI Standards, recognized Richard Allen (NIST/SEMATECH). From his involvement in the Microlithography/Micropatterning Committee to his current leadership in the 3DS-IC and MEMS/NEMS Committees, Allen has been a long-standing and active participant in the SEMI Standards Program.  He joined the 3DS-IC committee shortly after it was formed in late 2010 as serves as committee chairman. He also leads the Bonded Wafer Stacks Task Force, Inspection & Metrology Task Force and Thin Wafer Handling Task Force). His contributions have been instrumental in the publication of four SEMI 3DS-IC Standards to date.

The Corporate Device Member Award recognizes the participation of the user community. This year, three Program Members were presented with the Corporate Device Member Award for their contributions to EHS and 3DS-IC. This year’s Corporate Device Member Awards were presented to Paul Schwab (Texas Instruments), Urmi Ray (Qualcomm), and Raghunandan Chaware (Xilinx).  The award is presented to individuals from device manufacturers.

As co-leader of the S8 Ergonomics Task Force, Paul Schwab (Texas Instruments) provided end-user perspective in the revision of SEMI S8, Safety Guideline for Ergonomics Engineering of Semiconductor Manufacturing Equipment. Schwab significantly improved the Supplier Ergonomics Success Criteria (SESC) checklist criteria, making the Document easier to use by the industry.

Another example of the importance of end-user input was in the development of SEMI’s third 3DS-IC Standard – SEMI 3D3-0613, Guide for Multiwafer Transport and Storage Containers for 300mm, Thin Silicon Wafers on Tape Frames. North America 3DS-IC Thin Wafer Handling Task Force Leaders Urmi Ray (Qualcomm) and Raghunandan Chaware (Xilinx) played integral roles in the development of SEMI 3D3-0613, providing vital end-user perspective for shipping thin wafers on tape frames so that they arrive undamaged at their final destination.

The SEMI Standards Program, established in 1973, covers all aspects of microelectronics process equipment and materials, from wafer manufacturing to test, assembly and packaging, in addition to the manufacture of photovoltaics, flat panel displays and micro-electromechanical systems (MEMS). Over 3,700 volunteers worldwide participate in the program, which is made up of 23 global technical committees. Visit www.semi.org/standards  for more information about SEMI Standards.

 

 

SEMI today announced that Ajit Manocha, CEO of GLOBALFOUNDRIES, has been selected to receive the “SEMI Outstanding EHS Achievement Award — Inspired by Akira Inoue.” The Environment, Health and Safety (EHS) Award is sponsored by SEMI and will be presented on July 9 at 9:00am during the SEMICON West 2013 Opening Keynote and Ceremonies in San Francisco.

“We are pleased to present this award to Ajit Manocha for his outstanding contribution and commitment to EHS issues," said Denny McGuirk, president and CEO of SEMI.  “Ajit joins a distinguished group of semiconductor executives who have been honored by our industry for notable EHS achievement and leadership.”

“Excellence in Environment, Health and Safety is not only a mandate that we set for ourselves, but a fundamental expectation of our customers and the communities where we operate,” Manocha said. “Corporate responsibility is fundamental to our culture and our value proposition to our customers, the communities in which we live and do business, and our full range of global stakeholders.”

Manocha heads GLOBALFOUNDRIES Executive Stewards Council (ESC), the leadership forum for strategic direction and accountability for risk management, corporate responsibility and sustainability.  Manocha’s leadership has resulted in significant EHS achievements at GLOBALFOUNDRIES. Those cited by the Award committee in the selection of Manocha include:

  • Zero-Incident Safety Culture — GLOBALFOUNDRIES safety goal is to continually reduce all injuries and Manocha continually challenged the EHS and project management teams to achieve zero incidents. For example, Manocha ensured that there was a strong focus on safety metrics in the executive project reviews of the new Fab 8 in Malta, New York. GLOBALFOUNDRIES’ Singapore Fabs all received “Silver Awards” for Health and Safety presented by the Workplace Safety and Health Council and supported by the Singapore Ministry of Manpower.
  • Commitment to Eco-Efficiency in Foundry Operations — In 2012, GLOBALFOUNDRIES set corporate environmental goals to reduce GHG emissions 40 percent by 2015, electricity consumption 35 percent by 2015 and water consumption 10 percent by 2015, all normalized to a manufacturing index and compared to 2010.  Fab 8 incorporates multiple energy efficiency measures, waste heat recovery, and “idle mode” for abatement systems and vacuum pumps. Fab 1 in Dresden is powered by two energy-efficient tri-generation power plants that provide electricity, heating and cooling to fab operations, GLOBALFOUNDRIES’ Singapore utilizes reclaimed NEWater for incoming supply and achieved an energy reduction of 50 GWh in 2012, with a 2013 goal of a further 57 GWh reduction.
  • WSC Commitment to Best Practices for Perfluoro-Compound (PFC) Reduction — At the 2012 annual CEO meeting of the World Semiconductor Council (WSC), Manocha led the discussion of EHS topics, urging his fellow CEOs to take action to protect the environment, conserve resources, and achieve the WSC’s PFC reduction goal. GLOBALFOUNDRIES’ newest U.S. fab, Fab 8, meets the WSC Best Practice commitment for PFC emission reduction, and Fab 1 has incorporated best practices for PFC reduction since 1999.
  • WSC Commitment to a “Conflict-Free Supply Chain” — At the 2013 WSC meeting, Manocha  championed a “Conflict-free Supply Chain” policy to address concerns related to sourcing tantalum, tungsten, tin and gold from “conflict regions” of the Democratic Republic of Congo and adjoining countries. The WSC subsequently adopted such a policy. For its part, GLOBALFOUNDRIES has already met customer requests for “Tantalum Conflict-free” products in 2012.

In addition to receiving the EHS Award at SEMICON West, Manocha will deliver the Opening Keynote for the event on July 9 at 9:00am at Moscone Center (Esplanade Hall, Keynote Stage) in San Francisco, Calif.  For more information about SEMICON West — including registration and keynote attendance —   visit http://www.semiconwest.org.

The “Outstanding EHS Achievement Award — Inspired by Akira Inoue” is sponsored by the EHS Division of SEMI. The award is named after the late Akira Inoue, past president of Tokyo Electron Limited and a strong advocate of EHS. Inoue also served on the SEMI Board of Directors. The award recognizes individuals in industry and academia who have made significant contributions by exercising leadership or demonstrating innovation in the development of processes, products or materials that reduce EHS impacts during semiconductor manufacturing.

Past recipients of the SEMI EHS Akira Inoue Award include: Richard Templeton (president and CEO, Texas Instruments), Atsutoshi Nishida (president and CEO, Toshiba), Dr. Jong-Kap Kim (chairman and CEO, Hynix Semiconductor), Dr. Morris Chang (chairman and CEO, TSMC) and other prominent industry leaders.