Category Archives: Deposition

In the world of nano-scale technology, where work is conducted at the atomic level, even the smallest changes can have an enormous impact. And a new discovery by a University of Alberta materials engineering researchers has caught the attention of electronics industry leaders looking for more efficient manufacturing processes.

Triratna Muneshwar, a postdoctoral fellow in the Department of Chemical and Materials Engineering and Ken Cadien, a materials engineering professor, have developed a new method of making thin films–materials that are essential in today’s computers and electronic devices–by adapting current atomic layer deposition techniques.

Atomic layer deposition (ALD) is exactly what the name implies. Thin films are coated with molecule-thin layers of materials like zinc, silicon, nitrogen, and so on. In the manufacturing process, the film is placed inside a small chamber and prepared by being treated with a “sticky” precursor layer. Gasses are then pumped inside, coating and chemically binding to receptors on the precursor layer.

The problem is that some of the molecules coming to rest on top of the precursor layer are so large that they block other receptor points. It’s like five people taking up 10 seats on a bus.

However, Muneshwar observed that those large molecules almost immediately shed ligands that do not connect to the precursor layer, freeing up previously blocked receptors. But by this time, the gas has been pumped out of the chamber and cannot be used a second time. “Although few strategies have been proposed to recycle this unreacted gas, residual impurities within remains a serious concern,” he notes.

Muneshwar wondered if he could create a more dense and uniform layer by pumping gas into the chamber in smaller doses, waiting just a fraction of a second for the ligands to slough off and free up receptors, and then pumping in another small dose of gas.

He developed the idea while working as a PhD under Cadien’s supervision.

“My interest in this came about in a conversation with Dr. Cadien and one of his colleagues who said that precursor costs are a challenge,” said Muneshwar. Then, while attending an international conference in last year, Muneshwar asked industry engineers and researchers about ALD and precursor costs in particular.

“I asked one fellow ‘What if I could cut your precursor costs in half?’ and he realized the impact this would have on their manufacturing processes. Later that day when I ran into him, I was told that he discussed this idea with his boss and they would be very interested in our work,” Muneshwar said.

After returning to campus, Muneshwar began crunching numbers and found that on paper, the pulsed layering concept held promise. After refining his work, Muneshwar had developed a mathematical model that demonstrated the technique would work.

“In a lot of cases you do an experiment and then come up with the formula that explains what happened,” Cadien said. “But Triratna wrote the model first and it predicted exactly what happened in the experiment.”

Muneshwar and Cadien have published a paper on their discovery in the Journal of Applied Physics. Since the article’s appearance, they have been contacted by industry leaders requesting copies of the paper.

While small amounts of materials like zinc or silicon are required to produce thin film devices, Cadien says the costs are not insignificant–they can come in at $500 or $600 per gram and the current processes are wasteful, dosing surfaces with anywhere from 100 to 10,000 times the molecules required.

“Some of these are big molecules and in semiconductor manufacturing if you’re a company producing 10,000 12-inch wafers a week–small amounts of something add up to big amounts of something.”

The market precursors used in ALD is estimated to hit $400 million U.S. by 2020.

The two hope their discovery can lead to collaborative work with new industry partners in the future. Cadien notes that Muneshwar’s work could have a lasting impact on industrial practices because he was willing to experiment with the high-tech equipment available to him here.

“There are more than 1,000 atomic layer deposition systems in the world,” said Cadien, “but there’s only a small handful of people asking why and how these things work, who are trying new things. When you’re doing that, you can come up with breakthroughs like this.”

Veeco Instruments Inc. announced today the launch of the new TurboDisc K475i Arsenic Phosphide (As/P) Metal Organic Chemical Vapor Deposition (MOCVD) System for the production of red, orange, yellow (R/O/Y) light emitting diodes (LEDs), as well as multi-junction III-V solar cells, laser diodes and transistors.

“Veeco continues to drive innovation with MOCVD technology that enables us to lower manufacturing costs and increase production with systems that are reliable, flexible and easy to use,” said Shuangxiang Zhang, General Manager of Yangzhou Changelight Co., Ltd.

According to research firm Strategies Unlimited, R/O/Y LED demand is expected to grow at a 10 percent compound annual rate through 2023. This demand for red, orange and yellow LEDs is being driven by signage, automotive, display and general lighting applications, as well as the emergence of new applications such as wearable smart devices.

Incorporating proprietary TurboDisc and Uniform FlowFlange MOCVD technologies, the new K475i system enables Veeco customers to reduce LED cost per wafer by up to 20 percent compared to alternative systems through higher productivity, best-in-class yields and reduced operating expenses.

Veeco’s proprietary Uniform FlowFlange technology produces films with very high uniformity and improved within-wafer and wafer-to-wafer repeatability resulting in the industry’s lowest cost of ownership. This patented technology provides ease-of-tuning for fast process optimization and fast tool recovery time after maintenance enabling the highest productivity for applications such as lighting, display, solar, laser diodes, pseudomorphic high electron mobility transistors (pHEMTs) and heterojunction bipolar transistors (HBTs).

Veeco Instruments Inc., a supplier of metal organic chemical vapor deposition (MOCVD) systems, announced today that it has signed a joint development project (JDP) agreement with imec, the Belgium-based nano-electronics research center. The collaboration is expected to accelerate the development of highly-efficient, Gallium Nitride (GaN) based, power electronic devices using GaN Epi wafers created using Veeco’s Propel Power GaN MOCVD system.

Imec has already demonstrated significant gains in GaN layer uniformity and run-to-run repeatability with Veeco’s Propel system, resulting in significantly improved power device yields. The single wafer reactor incorporates Veeco’s proprietary TurboDisc technology that delivers superior film uniformity, run-to-run control and defect levels compared to batch reactors.

“Within the framework of our industrial affiliation program on GaN-on-Si, Veeco and imec have collaborated over the last four years to improve the Epi quality of GaN layers deposited on silicon wafer substrates,” said Rudi Cartuyvels, Senior Vice President Smart Systems and Energy Technologies at imec. “The ultimate goal is to produce the next generation of highly efficient power switching devices. We have set very high GaN device yield and reliability targets for 2016 and we look forward to partnering with Veeco to achieve these targets.”

According to IHS research, industry requirements are growing and requiring smaller, more energy efficient power ICs. This, in turn, is driving the need for improved power devices using advanced materials. GaN-on-Si coupled with improved process solutions, such as single-wafer GaN MOCVD, are critical to the development of these improved power devices.

“We are very pleased with our imec collaboration,” said Jim Jenson, Senior Vice President and General Manager, Veeco MOCVD Operations. “Global demand for advanced power electronics with greater energy efficiency, a smaller form factor and greater reliability is rapidly accelerating. We believe that the technology in our Propel single wafer system will enable imec to achieve their power device targets and help to bring these advanced devices to market faster.”

Nova Measuring Instruments, a provider of metrology solutions for advanced process control used in semiconductor manufacturing, announced today that a leading foundry recently selected Nova’s optical and X-ray metrology solutions for multiple process steps, including CMP, Etch and Deposition, for its most advanced technology nodes. The selection by this major foundry in Asia covers metrology solutions for process control in production in 10nm and 7nm technology nodes and metrology solutions for R&D process development in 5nm technology node. As part of this selection, Nova has already started to deliver integrated and stand-alone platforms during the fourth quarter of 2015 and will continue to support the ramp up for these advanced technology nodes during the coming years.

Nova’s solutions were selected due to superior metrology performance, high productivity and technology extendibility, to handle the future challenges of critical dimension (CD) and materials measurements of 3D FinFET gates in sub 10nm technology nodes. The selection was made following an intensive evaluation and includes Nova’s most advanced product suite of integrated and stand-alone metrology systems, offering unique and advanced measurement capabilities, enhanced with NovaMARS innovative modeling software. Nova’s comprehensive solution demonstrated best-in-class technology offering, combined with enhanced productivity capabilities and long term extendibility.

“This selection by the world’s leading foundry, following a comprehensive evaluation, represents a powerful vote of confidence in our metrology portfolio for the most advanced technology nodes,” stated Eitan Oppenhaim, Nova’s President and CEO. “This selection is another proof point for our industry leadership, as well as our strong position in the foundry segment, and validates our expectations for extensive growth in metrology intensity in the advanced nodes. I am gratified that we were able to demonstrate, once again, the value of our disruptive innovation, which matches aggressively to our customer’s roadmap and provides a great support for our growth plans in the coming years.”

Oppenhaim concluded, “We are reaffirming our revenue guidance for the fourth quarter of the year, representing record top-line results in 2015.”

The company revenue guidance for the fourth quarter of 2015 is $37 million to $41 million, which implies to $145.5 million to $149.5 million in annual revenues in 2015.

Stanford University researchers sponsored by Semiconductor Research Corporation (SRC) have developed a new area selective atomic layer deposition (ALD) process that promises to accelerate the manufacturing of higher performing, more energy efficient semiconductors.

It is well known that next-generation electronic, optoelectronic and sensing devices that contain nanoscale dimensions face increasingly difficult materials and fabrication challenges as the downward scaling of these devices continues. Conventional semiconductor manufacturing processes are time-consuming and expensive, in part due to the need for lithographic patterning. The Stanford research leverages simple ALD and etching processes that eliminate this lithography step and improve selective deposition of dielectric materials by more than 10 times in film thickness compared to similar advanced processes.

Due to difficulties of current top-down fabrication processes that contain multiple deposition, lithography and etching steps, along with problems with misalignment in lithography, using an alternative approach in which the desired materials are directly and selectively deposited would significantly facilitate the process, according to the Stanford team.

“Our technology is a promising candidate for overcoming the challenges of top-down processing and misalignment because it greatly improves the ability to perform selective deposition of materials. This research introduces a novel processing method to meet the increasingly difficult materials challenges associated with new devices,” said Dr. Stacey Bent, Department of Chemical Engineering Chair and Jagdeep and Roshni Singh Professor in School of Engineering, Stanford University.

Current approaches utilize lithography for nanoscale patterning. Using lithography and etching for fabrication of 2D or 3D structures often results in misaligned features and causes a risk of shorting or high resistant areas. However, selective deposition using ALD can reduce these risks and reduce the process time and steps.

Bent explained that selective deposition allows layers of material to be added onto a substrate only where desired without the need for additional lithography steps. However, the high level of selectivity needed for a manufacture-worthy process has not yet been achieved in area selective deposition studies. In addition, most methods for area selective deposition require long processing times.

The Stanford research has been focused on selective deposition of dielectric materials on metal/dielectric patterns. These type of structures can be found in interconnects and back-end-of-line (BEOL) processing. With ALD being used in other stages of the device fabrication process as well, the results from the Stanford experiments can potentially be applied to a variety of nanoscale electronic, optoelectronic and sensing devices.

The research developments occurred during the second year of research on the topic, and the Stanford team is continuing to explore new methods for area selective ALD to improve both selectivity and manufacturability.

“The Stanford team’s research has shown for the first time that, by following selective deposition of a dielectric material using pre-treatment by an inhibitory material, they can significantly reduce the process time (from 48 hours to less than 1 hour) and also improve the limits of selective deposition of dielectrics by more than 10 times,” said Kwok Ng, Senior Science Director of Nanomanufacturing Materials and Processes at SRC.

CVD Source Materials


December 17, 2015

Reaction materials for chemical vapor deposition (CVD) and atomic layer deposition (ALD) are typically delivered into the chamber in a gaseous form. CVD polycrystalline silicon, for example, is deposited from trichlorosilane (SiHCl3) or silane (SiH4), using the following reactions:

SiH3Cl → Si + H2 + HCl
SiH4 → Si + 2 H2

This reaction is usually performed in LPCVD systems, with either pure silane feedstock, or a solution of silane with 70–80% nitrogen. Polysilicon may be grown directly with doping, if gases such as phosphine, arsine or diborane are added to the CVD chamber.

Silicon dioxide (usually called simply “oxide” in the semiconductor industry) may be deposited by several different processes. Common source gases include silane and oxygen, dichlorosilane (SiCl2H2) and nitrous oxide (N2O), or tetraethylorthosilicate (TEOS; Si(OC2H5)4). The reactions are as follows:

SiH4 + O2 → SiO2 + 2 H2
SiCl2H2 + 2 N2O → SiO2 + 2 N2 + 2 HCl
Si(OC2H5)4 → SiO2 + byproducts

CVD source materials are typically gases, such as silane and nitrogen, but can also be liquids: There are now a larger variety of liquid sources used in the semiconductor, FPD and PV manufacturing processes.

CVD Sources

The graph above shows the different possible states of matter. There are two ways to get from a liquid to a gaseous state. The first method involves increasing the temperature while holding the pressure steady, as indicated by the arrow with the broken line. This method is commonly used in everyday settings—to boil water and convert it to steam, for example. Heating a liquid takes time, however, which makes rapid vaporization difficult. On the other hand, one can also heat the liquid in advance and then abruptly reduce the pressure, as illustrated by the arrow with the solid line. The pressure in the vaporization section of the injector can be reduced instantaneously, and this makes it possible to vaporize a liquid source instantaneously.

Chemical Vapor Deposition


December 11, 2015

Chemical vapor deposition (CVD) is used to produce high-purity thin films. In a typical CVD process, the wafer (substrate) is exposed to one or more volatile precursors, which react and/or decompose on the substrate surface to produce the desired deposit. Frequently, volatile byproducts are also produced, which are removed by gas flow through the reaction chamber.

Microfabrication processes widely use CVD to deposit materials in various forms, including: monocrystalline, polycrystalline, amorphous, and epitaxial. These materials include: silicon, carbon fiber, filaments, carbon nanotubes, SiO2, silicon-germanium, tungsten, silicon carbide, silicon nitride, silicon oxynitride, titanium nitride, and various high-k dielectrics. The CVD process is also used to produce synthetic diamonds.

Applications include shallow-trench isolation, pre-metal dielectric, inter-metal dielectric, and passivation. CVD processes are also important in strain engineering that uses compressive or tensile stress films to enhance transistor performance through improved conductivity.

Additional Reading

Taking 2D materials from lab to fab, and to technology

New materials require new approaches

Deposition equipment market witnesses a year of significant change

Atomic Layer Deposition


December 11, 2015

There are four main segments in the thin-layer deposition equipment market – atomic layer deposition (ALD), chemical vapor deposition (CVD), epitaxy, and physical vapor deposition (PVD), also known as sputtering. Although CVD equipment represents the largest equipment type, ALD represents the fastest growing equipment category.

ALD is a technique capable of depositing a variety of thin film materials from the vapor phase. As device requirements push toward smaller and more spatially demanding structures, ALD has demonstrated potential advantages over alternative deposition methods, such CVD and PVD due to its conformality and control over materials thickness and composition. These desirable characteristics originate from the cyclic, self-saturating nature of ALD processes [1].

‪Layers are formed during reaction cycles by alternately pulsing precursors and reactants and purging with inert gas in between each pulse. Each atomic layer formed by this sequential process is a result of saturated surface-controlled reactions. For example, a metal precursor pulse of trimethylaluminum (Al(CH3)3) followed by an oxygen reactant pulse (H2O vapor) results in the formation of a layer of aluminum oxide, a metal oxide compound that can be used as a high-k dielectric.

Building devices atom by atom enables very precise control over the process. Because the ALD process is self-limiting, it results in films with a precise thickness and conformality, even over varied surface topographies. It can be applied to produce different oxides, nitrides or other compounds. ALD provides excellent surface control and can produce thin, uniform and pinhole-free films over large areas by single or tailored multiple layer deposition. Nanolaminates or stacked layers of different materials can also be produced, in a straightforward manner, in the ALD reactor. ​

According to new report by Global Industry Analysts, Inc., the global market for thin layer deposition equipment in semiconductor applications is projected to reach US$13.6 billion by 2020, driven by expanding electronics industry and parallel growth in demand for semiconductor solutions.

In terms of R&D, metal ALD has been challenging because of lack of suitable chemistry and nucleation problems. The development of processes for platinum group metals was a success but need for good industrial processes for many other metals still exists. Metal sulfides are old ALD materials and in industrial use in electroluminescent display production but ALD of selenides and tellurides has been much less studied. The need of chalcogenides in phase change materials and development of alkyl silyl precursors for selenium and tellurium has improved the situation. There is still a need to develop new ALD processes for microelectronics, low-k materials, 2D materials and oxides for transparent TFTs, according to Markku Leskelä, University of Helsinki, Finland.

In addition to applications in microelectronics there are many emerging areas where ALD has been introduced. One important area is energy technology materials. ALD films are used in silicon solar cells as passivation layers and they are extensively studied in many other areas such as dye sensitized solar cells, lithium ion batteries, supercapacitors and fuel cells. Indicative for these and many other applications is the use of known – mostly oxide –processes for protection. Li ion batteries make an exception and new materials and processes have been developed for lithium compounds. Research is also underway to adapt ALD processes to high-throughput roll-to-roll production for printed/flexible electronics.

Key players in the ALD deposition arena include Applied Materials Inc., ASM International N.V., Jusung Engineering Co. Ltd., Lam Research Corporation, Oxford Instruments, Picosun, Tokyo Electron Limited, ULVAC Technologies Inc., Ultratech/Cambridge Nanotech and Veeco Instruments Inc., among others.

The American Vacuum Society hosts an annual conference on Atomic Layer Deposition dedicated to the science and technology of atomic layer controlled deposition of thin films.

References

  1. http://www.sciencedirect.com/science/article/pii/S1369702114001436

Suggested additional reading

Atomic layer deposition goes mainstream in 22nm logic technologies

Successful industrialization of high-density 3D integrated silicon capacitors for ultra-miniaturized electronic components

Particle Atomic Layer Deposition

JVST A – Most Read Atomic Layer Deposition Articles Published in 2014

By Jeff Dorsch, Contributing Editor

There are four main segments in the thin-layer deposition equipment market – atomic layer deposition, chemical vapor deposition, epitaxy, and physical vapor deposition, also known as sputtering.

As the semiconductor industry powers through the 14-nanometer process generation, interest is keen on how researchers and suppliers will improve the current crop of deposition equipment to meet the requirements of the 10nm and 7 nm nodes.

The long-pending merger of Applied Materials and Tokyo Electron into a company to be called Eteris, called off in April due to regulatory issues, would have created a mighty deposition vendor, holding nearly 60% of the worldwide market. Applied still holds a commanding share of the deposition market, yet will have to contend with Lam Research (which acquired Novellus Systems in 2012), AIXTRON, ASM International, and other competitors.

Global Industry Analysts (GIA) forecasts the global deposition equipment market will hit $13.6 billion by 2020. Atomic layer deposition (ALD) will be the fastest growing segment, with a compound annual growth rate of 19.9 percent, the market research firm estimates.

Chemical vapor deposition (CVD) will be the second largest deposition segment through the end of this decade, followed by physical vapor deposition (PVD) and epitaxy, according to GIA. Japanese vendors, namely Hitachi Kokusai Electric/Kokusai Semiconductor Equipment and Tokyo Electron, dominate the worldwide CVD market, with significant market shares held by Applied Materials, ASM International, and Lam Research, the market research firm states.

Taiwan is the world’s largest market for deposition equipment, Global Industry Analysts says. That’s not surprising, since SEMI estimates that Taiwanese semiconductor manufacturers will spend about $10.5 billion on wafer fabrication equipment this year, representing nearly 30 percent of worldwide spending on fab equipment in 2015. GIA sees China being among the fastest-growing markets for deposition, with a CAGR of 15.1 percent.

In May, Applied Materials introduced the Applied Endura Cirrus HTX PVD system for making titanium nitride hardmask films, targeting applications in fabricating semiconductors with 10nm and 7nm features.

A year ago at SEMICON West, the company debuted the Applied Producer XP Precision CVD system, which it said supports the industry transition to 3D NAND flash memory devices by providing nanometer-level layer-to-layer film thickness control for critical-dimension uniformity across a wafer.

July of 2014 also saw Lam Research unveil its VECTOR ALD Oxide system to produce conformal dielectric films defining critical pattern dimensions in multiple patterning.

SEMICON West 2015 is expected to see announcements on new products and research in the deposition equipment field.

Design features that contributed most to the improved performance include increased rotational speed, integrated rotor sleeves, and increased purge injection temperature.

BY MIKE BOGER, Edwards Vacuum, Tokyo, Japan

The use of high-k dielectric films deposited through atomic layer deposition, primarily in batch furnaces, has intensified, particularly in the manufacture of memory devices and high-k metal gates (HKMG) in logic devices. ALD uses a sequential purge and injection of the precursor gases to generate slow, but accurate growth of the films one atomic layer at a time. One of the precusors is typically a metal organic compound from a liquid source, commonly zirconium or hafnium-containing materials, followed by ozone to create the high-k film.

Wafers are usually processed in a furnace with batch sizes of 200 or more wafers. Reliability of the vacuum system is imperative to prevent contamination and consequent scrapping of the wafers. Unexpected failures can cause significant loss of work in process and process downtime. For example, if the vacuum pump seizes suddenly due to internal contamination by process by-products, the pressure in the pipe between the vacuum and furnaces rises, and there is a risk that powder deposited in the pipe will flow back into the furnace. This powder can not only contaminate wafers in the furnace, but also force a time-consuming clean-up that may remove the furnace from operation for a day or more.

The challenge

The mean-time-between-service (MTBS) for a vacuum pump used in semiconductor manufacturing varies greatly depending on the particular process it supports and the design of the pump. For the ALD processes considered here most failures caused process by-products can be grouped into four categories.

  • Corrosion – Attack on the metal components of the pump results in the opening of clearances leading to loss of base vacuum. Depending on the location of corrosion, the oxidation of the metal may actually generate powder that can cause seizure of rotating elements.
  • Plating – The deposition of metal compounds on the surface of internal components fouls internal mechanism clearances, causing the pump to seize.
  • Powder ingestion – Powder that enters the pump can jam rotating elements, leading to seizure.
  • Condensation – Compounds in the pumped gas stream transition from a gaseous to a solid phase within the pump, depositing on internal surfaces and eventually leading to loss of clearance and seizure.

Monitoring of pump operating conditions, such as input power, current, and running temperature, can provide an indication of the health of the pump. Events that lead to failure are generally gradual in nature. Advance notice periods can be measured in days. However, failures of vacuum pumps on high-k ALD processes often happen suddenly with little to no indication of distress prior to seizure.

A typical example of a vacuum pump used on a high-k ALD process is shown in FIGURE 1. This pump was used in a full production environment and consisted of a 1,800 m3h-1 mechanical booster mounted above a 160 m3h-1 dry pump. In this case, the pump exhibited a strong spike in running power, approximately 20 times normal, and was immediately removed for inspection. Significant deposition is evident in the booster (Fig. 1 left) and also in the last stage of the dry pump (Fig. 1 right). Evidence of the loss of clearance that caused the spike in input power is observed as a shiny area on the rotor lobe. In operation this pump was exposed to TEMAH (hafnium-containing liquid precursor), TMA (aluminum-containing liquid precursor), and ozone for producing HfO2 and TMA Al2O3. It was exchanged after 1,200 hours of use.

ALD 1-A ALD 1-B

 

FIGURE 1. A picture of a disassembled pump after 1,200 hours of use on a high-k ALD process showing the deposition in the booster (left) and loss of clearance in the last stage of the dry pump (right). 

FIGURE 2 provides another example of a pump that was removed due to detection of a spike in input current. In this case, the booster, second stage, and final stage of the pump are shown. Although the process was nominally the same (deposition of HfO2 and Al2O3), the deposition pattern is different. In this case, the booster and early stages of the dry pump show signs of a thin coating of a material that exhibits a green iridescent sheen. The final stage of the pump has a brown powder accumulation, but of a lighter color than that shown in Fig. 1.

FIGURE 2. Pictures of a disassembled pump that was removed for inspection after only 457 hours due to a large current spike detected during operation. In order, the pictures show the booster, second stage of the dry pump, and the final stage of the dry pump.

FIGURE 2. Pictures of a disassembled pump that was removed for inspection after only 457 hours due to a large current spike detected during operation. In order, the pictures show the booster, second stage of the dry pump, and the final stage of the dry pump.

In both of the examples shown in Figs. 1 and 2, the service interval of the pump was short and below the user’s expectations. In these cases, which are representative of all the pumps used on this process, the user was forced to exchange pumps frequently to minimize the risk of wafer loss. Other customers had similar experiences. TABLE 1 lists the films deposited and the preventative maintenance service intervals implemented by four customers. Analysis of serviced pumps suggested that processes depositing zirconium oxide were more challenging for the pump.

Screen Shot 2015-02-10 at 5.30.54 PM

Analysis

To better understand the reliability improvement challenge, a sample of the deposited material from a failed pump was analyzed. The results of the analysis, shown in FIGURE 3, revealed deposits rich in carbon and metal oxides, consistent with metal-organic precursors. The rate of oxide deposition appeared to be higher than that which would occur through pure ALD mechanisms, suggesting some chemical vapor deposition (CVD) or decomposition of the gases being pumped.

FIGURE 3. Analysis of the deposition within a failed pump showing hafnium, oxygen, and carbon components.

FIGURE 3. Analysis of the deposition within a failed pump showing hafnium, oxygen, and carbon components.

A survey of literature [1], [2], [3], [4] revealed that the typical reactants used in high-k ALD can react at high pressure and at low temperature without the need for external energetic activation. This suggests that even if there were no CVD or decomposition of gases within the pump, ALD-like films can still be deposited on the internal surfaces of the pump.

A simulation of the vapor pressure of TEMAH (one of the precursors used) within the pump was conducted, assuming a mass flow rate of 0.2 mg min−1 for TEMAH. The simulation results were compared to the measured vapor pressure of TEMAH to determine if there was any risk of TEMAH condensing within the vacuum pump. The results, shown in FIGURE 4, suggest that there are sufficient safety margins in the actual conditions. The TEMAH will stay in vapor form while it travels through the pump, even if the actual flow varied by an order of magnitude from that assumed. Moreover, the pump temperature could be reduced substantially without risk of condensing TEMAH within the pump.

FIGURE 4. Vapor pressure of TEMAH (0.2 mg/min with 14 slm of nitrogen) and simulated vapor pressure of TEMAH in the dry pump, inlet to outlet.

FIGURE 4. Vapor pressure of TEMAH (0.2 mg/min with 14 slm of nitrogen) and simulated vapor pressure of TEMAH in the dry pump, inlet to outlet.

A number of pumps were inspected, a large majority of which were pumps exchanged prior to seizure. Unfortunately, although powder was evident in the final stages of all pumps, not all pumps had powders of the same color. Moreover, as seen in the middle photograph of Fig. 2, some pumps and boosters were relatively clean exhibiting just a green sheen of deposition.

None of the observations, other than powder in the final stage of the dry pump, were consistently repeatable, suggesting that factors upstream of the pump were also contributing to short service intervals. Powder loading varied between pumps and within the pumps, although the heaviest deposition was always located in the final stages of the dry pump. It is normal for the most deposition to occur near the exhaust of the pump because of the generally increased temperature of the exhaust gas and the increase in vapor pressure of the materials being pumped.

A diagram of the dry pump stages from inlet to outlet is shown in FIGURE 5, where the sleeves are also shown. Consistently, the final stage shaft sleeve, which is located between the 4th and 5th stage of the pump, was the weakest link in the design. Deposition would collect on the sleeve’s surface. Resulting friction between the sleeve and the stator would cause the components to heat, expand, and finally seize the pump.

FIGURE 5. Schematic of the dry pump mechanism showing inlet (1st stage) to outlet (5th stage). Rotor sleeves are shown in green.

FIGURE 5. Schematic of the dry pump mechanism showing inlet (1st stage) to outlet (5th stage). Rotor sleeves are shown in green.

FIGURE 6 shows the sleeves from between three stages of a pump exchanged for service. Another example is shown in the right side picture of Fig. 1. The sleeves are steel with a PTFE coating, giving them a green color. Evidence of the deposition is clear in the shaft sleeves on the right side of the picture.

FIGURE 6. Picture of sleeves in an exchanged pump showing deposition on the outer surfaces.

FIGURE 6. Picture of sleeves in an exchanged pump showing deposition on the outer surfaces.

Extending pump service intervals

Inconsistencies in powder deposition that suggested variations in upstream conditions were ultimately traced to condensation in the gas lines to the process chamber. The amount of condensed liquid and the length of the flow step in the ALD cycle affected the amount of deposition. When the user took care to avoid condensation, a much more consistent pattern of deposition was observed within the pump.

For any particular dry pump, the two most convenient elements that can be adjusted are the nitrogen purge and the temperature of the pump. Adding purge, or changing the location of the purge, can affect the partial pressure of the gases being pumped. Purge can also affect the temperature of the gas being pumped. In this case the purge flow was already 76 slm and further increase could have affected the downstream gas abatement device.

Experiments to extend the MTBS focused on the pump running temperature. Temperature changes within the pump can dramatically affect the propensity of the pumped gases to condense on the internal surfaces of the pump as well as the rate of reactions of any gases being pumped. However, varying the pump temperature from 140°C to nearly 180°C made any appreciable change to the service interval.

Finally, two pumps with designs that differed significantly from the original pump were evaluated. Additionally, new pump A provided significantly greater capacity at higher inlet pressures than new pump B, at the expense of greater power consumption. The results are shown in TABLE 2.

Screen Shot 2015-02-10 at 5.32.47 PM

New Pump A was initially installed with a temperature set point of 130°C. It was removed after six months for inspection prior to failure. New Pump B was tested with a temperature set point of 110°C. It was removed after six months prior to failure. A comparison of the internal condition of the Original Pump and New Pump B is shown in FIGURE 7.

FIGURE 7. Pictures comparing the third stage of the original pump and New Pump B showing the different deposition patterns.

FIGURE 7. Pictures comparing the third stage of the original pump and New Pump B showing the different deposition patterns.

Four differences in the new pump design are believed to have contributed to improved reliability:

  • 180% increase in rotational speed (180%) resulting in less residence time of the pumped gases.
  • Reduced operating temperature. Although many semiconductor processes benefit from a hot pump, this ALD process does not.
  • No rotor sleeves. The rotor sleeve in the new pumps was integrated with the rotor element itself. This not only removed the necessity for a coating, but appeared to strengthen the mechanism.
  • Heated purge. The purge in the new pumps is warmed to within 95% of the stator temperature to prevent cooling effects and reduce the chance of spontaneous condensation of gases.

Subsequent experience with a large number of pumps and customers has confirmed the advantages provided by the new pump design. New pump B is the recommended pump for this application with fixed service intervals varying between 4 and 6 months depending on the specific characteristics of the process supported.

Conclusions

Deposition of high-k materials using ALD is a widely used technique for today’s transistor and memory structures. At early introduction of the process in high volume manufacturing, pump reliability became a key concern. Careful analysis and cooperation with customers resulted in extending the service interval of the pumps from one to up to six months, an achievement that significantly reduced operating expenses and production losses due to wafer contamination and equipment downtime caused by unexpected pump failures. Analysis of the pump condition and test results showed that, more than temperature or purge, a different pump design provided the greatest improvement in service intervals. Design features that contributed most to the improved performance include increased rotational speed, integrated rotor sleeves, and increased purge injection temperature.

References

1. J. M. et al., “Impact of Hf-precursor choice on scaling and performance of high-k gate dielectrics hf-based high-k materials,” ECSTrans., p. 59, 2007.
2. X. L. et al., “Ald of hafnium oxide thin films from tetrakis (ethylmethylamino) hafnium and ozone,” J. of ECS, vol. 152, 2005.
3. H. Furuya, “Formation of metal oxide film,” Sep 2008, patent application: US20080226820 A1.
4. Y. S. et al., “Atomic layer deposition of hafnium oxide and hafnium silicate thin films using liquid precursors and ozone,” J. Vac. Sci. Tech. A, vol. 22, 2004.