Category Archives: Semicon

By Pete Singer

In order to increase device performance, the semiconductor industry has slowly been implementing many new materials. From the 1960s through the 1990s, only a handful of materials were used, most notably silicon, silicon oxide, silicon nitride and aluminum. Soon, by 2020, more than 40 different materials will be in high-volume production, including more “exotic” materials such as hafnium, ruthenium, zirconium, strontium, complex III-Vs (such as InGaAs), cobalt and SiC.

These new materials create a variety of challenges with regard to process integration (understanding material interface issues, adhesion, stress, cross-contamination, etc.). But they also create new challenges when it comes to material handling.

“As we go through technology node advancements, people are looking at the potential of different materials on the wafer,” notes Clint Haris, Senior Vice President and General Manager of the Microcontamination Control Division at Entegris (Billerica, MA). “They’re looking at different chemicals that are required to clean those materials to reduce defects and improve their operational yield, and what we’re increasingly seeing is that fabs are concerned with the fact that contamination can be introduced in the fluid stream anywhere in that long process flow.”

Haris said that part of their mission at Entegris is to make sure that the entire supply chain – from the development of a chemistry at the supplier to its use on a wafer in a fab – is working in harmony, particularly with regard to any materials that might “touch” the chemicals. “Not only do you want to filter and purify things throughout the whole fluid flow,” he said, “but you want to have that last filtration right before the fluid touches the surface of the wafer.”

The goal of filtration is, of course, to remove contaminants and particles before they reach the wafer, but the exact purity required can be a moving target. “Today we’re seeing a lot of these materials and liquids, which have a parts per trillion purity level, but there’s a desire to move to parts per quadrillion,” Haris said. That’s the equivalent of one drop in all the water that flows over Niagra Falls in one day.

In addition to the filtration challenge of achieving that level, there’s the question of do the analytical tools exist to actually measure contaminants at that level. The answer – not yet. “It’s actually a real issue where some of the metrology tools cannot meet our customers’ needs at those levels, and so one of the things that we’ve done is we’ve developed some techniques internally to enhance the capability of metrology,” Haris said. “We also work on how we prepare our samples so you can detect contamination at those levels.” Because that level of detection is so difficult — in some cases impossible – Haris said fabs are increasingly putting additional filters at the process tool and at the dispense nozzle to “protect against the unknown.”

Earlier this year, Entegris introduced Purasol™, a first-of-its-kind solvent purifier that removes a wide variety of metal microcontaminants found in organic solvents used in ultraclean chemical manufacturing processes. Using tailored membrane technology, the purifier can efficiently remove both dissolved and colloidal metal contaminants from a wide variety of ultra-pure, polar and non-polar solvents. “One of the main things that our customers are seeing is a concern with metal contamination in the photo process that can result in particular defects (see Figure), such as bridge defects,” Haris explained. Increasingly, fabs are moving from just filtration (removing particles) to purification (removing ions and metals), he added.

Illustration of metal contamination inducing defects on lithography process.

Illustration of metal contamination inducing defects on lithography process.

Entegris also recently acquired W. L. Gore & Associates’ water and chemical filtration product line for microelectronics applications. “This is a Teflon-based product line, which is used in ultrapure water filtration for semiconductor fabs, but it’s also a product that we’re selling into some of the fine chemical purification markets for some of the chemistries that are brought into the fabs,” Haris said. “We are focused on new product development and M&A to enhance our capability to support our customers as they overcome these contamination challenges..”

By Pete Singer

At a SEMICON West press conference yesterday, SEMI released its Mid-year Forecast. Worldwide sales of new semiconductor manufacturing equipment are projected to increase 19.8 percent to total $49.4 billion in 2017, marking the first time that the semiconductor equipment market has exceeded the market high of $47.7 billion set in 2000. In 2018, 7.7 percent growth is expected, resulting in another record-breaking year ─ totaling $53.2 billion for the global semiconductor equipment market.

Figure 1 copy

“It’s really an exciting time for the industry in the terms of technology, the growth in information and data and that’s all going to require semiconductors to enable that growth,” said Dan Tracy, senior director, IR&S at SEMI.

The average of various analysts forecast the semiconductor industry in general 12% growth for the year. “It’s a very good growth year for the industry,” Tracy said. “In January, the consensus was about 5% growth for the year and with the improvement in the market and the firmer pricing for memory we see an increase in the outlook for the market.”

The SEMI Mid-year Forecast predicts wafer processing equipment is anticipated to increase 21.7 percent in 2017 to total $39.8 billion. The other front-end segment, which consists of fab facilities equipment, wafer manufacturing, and mask/reticle equipment, will increase 25.6 percent to total $2.3 billion. The assembly and packaging equipment segment is projected to grow by 12.8 percent to $3.4 billion in 2017 while semiconductor test equipment is forecast to increase by 6.4 percent, to a total of $3.9 billion this year.

“Based on the May outlook, we are looking at a record year in terms of tracking equipment spending. This is for new equipment, used equipment, and spending related to the facility that installed the equipment. It will be about a $49 billion market this year. Next year, it’s going to grow to $54 billion, so we have two years in a row of back to back record spending,” Tracy said.

In 2017, South Korea will be the largest equipment market for the first time. After maintaining the top spot for five years, Taiwan will place second, while China will come in third. All regions tracked will experience growth, with the exception of Rest of World (primarily Southeast Asia). South Korea will lead in growth with 68.7 percent, followed by Europe at 58.6 percent, and North America at 16.3 percent.

SEMI forecasts that in 2018, equipment sales in China will climb the most, 61.4 percent, to a total of $11.0 billion, following 5.9 percent growth in 2017. In 2018, South Korea, Taiwan, and China are forecast to remain the top three markets, with South Korea maintaining the top spot to total $13.4 billion. China is forecasted to become the second largest market at $11.0 billion, while equipment sales to Taiwan are expected to reach $10.9 billion.

Figure 2

James C. Morgan will be a special guest presenter during the SEMICON West keynote session this morning at the Yerba Buena Center. Morgan is a director emeritus and past president of SEMI, and was one of SEMI’s early supporters, back when the organization consisted of an “executive secretary, two clerks, and eight board members.”

Jim will be discussing the semiconductor equipment industry and introducing his autobiography and book of business insights, Applied Wisdom: Bad News Is Good News and Other Insights That Can Help Anyone Be a Better Manager. Dan Hutcheson, CEO of VLSI Research, says that he would “definitely put this book on the shelf next to Andy Grove’s Only the Paranoid Survive.”

Complimentary copies of the ebook will be made available to all attendees of this year’s Semicon West conference.

Jim Morgan will also be signing paperback books at booth 5630 in the North Hall at Moscone Center on June 11 and 12.

James C. Morgan ran Applied Materials for nearly three decades—one of the longest tenures of any Fortune 500 CEO. The company was near-bankrupt when he joined; when he retired as CEO in 2003, Applied was a multi-billion dollar global leader with more than 15,000 employees.

More recently he and his wife Becky founded the Northern Sierra Partnership, which fosters collaboration among conservation organizations in order to preserve and restore one of the world’s great mountain ranges.

appliedwisdombook

 

By Dave Lammers

Keynote speakers Terry Higashi of Tokyo Electron Ltd. and Tom Caulfield of GlobalFoundries took the stage at the Yerba Buena Theater Tuesday morning to predict major changes in the goals and operations of the semiconductor industry.

higashi2013_11_600px_0 ThomasCaufieldSized

In many ways, 2017 has been marked by intense interest in the capabilities of neural networks and other forms of artificial intelligence (AI). Higashi, now a corporate director at TEL, predicted that AI and virtual reality are among the applications that will propel demand for semiconductors “almost without limit.” Neuromorphic processors, the veteran TEL executive said, “are one of the promising devices to enhance human creativity. They will be improved step by step, just as logic and memory devices were improved.”

Looking toward a future in which AI and human skills combine to resolve problems, Higashi predicted that today’s Von Neumann-based architectures and neuromorphic device will complement each other. “Artificial intelligence solutions will be proposed, and the challenges and problems will be solved by scientists and engineers. The combination of Von Neumann and neuromorphic computing gets us closer to true intelligence,” he said.

AI also will play a role in enhancing the immersive experiences promised by virtual reality, experiences which visionaries have predicted but which thus far mankind “has never fully experienced.”

Higashi said that by combining VR and AI, “we can attain a suspension of disbelief, and simply enjoy the experience. If we can provide the technologies, consumers will experience excitement and a form of happiness.”

Caulfield, the general manager of the Malta fab near Albany, agreed with Higashi’s assessment that that the semiconductor industry is seeing “new buds” that will bloom into large semiconductor markets.

However, Caulfield said that to achieve anything like the rate of technological progress seen over the first half century of the semiconductor industry, companies and customers will have to take collaboration to new levels. And he offered the collaboration between GlobalFoundries and AMD as an example.

“Collaboration, potentially, is the biggest thing we need to do. We need strategic partnerships, and not only among semiconductor manufacturers but also with equipment suppliers.”

At its Malta fab, GlobalFoundries builds all of AMD’s leading-edge discrete graphics engines and CPUs. “The AMD and GlobalFoundries engineering teams are so embedded with each other, one can hardly tell” which company an engineer works for, he said.

Noting the resurgence of AMD, Caulfield said “we are all proud to be part of that partnership.” And he pointed to another collaboration, between Samsung and GlobalFoundries, which allows customers to take the same 14nm design and choose whether to manufacture it at Samsung’s Austin fab or at Malta. “Customers can run photomasks in Austin or in Malta, New York and have the product look the same,” he said.

Government role

In such a collaboration-rich business environment, governments also have a role to play, Caulfield said.

“Public-private investments must imply a return to governments as well as to companies. Otherwise, they send the wrong message.” By investing several billion dollars in the Malta fab, GlobalFoundries and the state of New York put to work the well-educated young people who otherwise would have left the state in search of technology jobs. When Malta began operations, only 20 percent of the staff were educated in New York. Now, fully half of the workforce has benefited from a New York education.

“We were exporting talent. Now, the workforce has great opportunity within the state,” he said.

Both Higashi and Caulfield said major challenges face the industry. Higashi noted that innovation will be required to keep flash memory costs under control. “As data is captured by sensors and is transferred via the appropriate networks and stored in data centers, demand for NAND will be high. We must make huge efforts to reduce the overall cost, as the semiconductor industry is expected to provide enough volumes to support the Internet of Things.”

Caulfield said the performance of logic transistors has struggled to keep pace, even as density increases have continued. When the industry moved from 28nm to 14nm technologies, performance increased by fully 50 percent. But from 14nm to 10nm, speeds improved by about 18 percent, making shrinks primarily a cost improvement.

With the industry now focused on brining 7nm logic to the market, the question arises whether 5nm CMOS will provide enough performance to justify that node. While the jury on technology scaling is still out, Caulfield said the industry may have to move to gate all around (GAA) structures, or to non-silicon channel materials, in order to gain the kinds of performance improvements that customers expect from a new node.

Higashi said systems must get faster. “Real-time processing is crucial in the cyber world. And with robotic hands, there should be no delays in physical operations.”

“Memory, logic, and sensing make it possible for AI systems to solve problems much faster than a team of geniuses. We are now in a new era, one of super integration. In addition to improved specialty devices – based on logic, memory, and sensors – we must take these separate devices and put them together into fully integrated systems. It is time to make a pizza, with some of the best ingredients,” he said.

9:05 am – 9:20 am
Special Guest: James. C. Morgan
Jim talks about his new book, Applied Wisdom
Yerba Buena Theater

9:35 am – 10:05 am
Big Data in Autonomous Driving
Katherine S. Winter, Intel
Yerba Buena Theater

10:30 am – 12:30 pm
Enabling the IoT
Innovative Technologies to Advance the Connected World
Meet the Expert Theater, Moscone West

12:30 am –2:00 pm
Smart Automotive 1
The Future of Smart & Connected Self-driving Cars
Moscone North, TechXPOT North

2:00 pm – 3:00 pm
Executive Panel
Meeting the Challenges of the 4th Industrial Revolution along the Microelectronics Supply Chain
Yerba Buena Theater

2:00 pm – 5:00 pm
Advanced Packaging Technologies Enabling Advanced Applications
Moscone West, TechXPOT West

3:00 pm – 4:30 pm
Smart Manufacturing
Machine learning in design, inspection, process modeling and decision making
Meet the Expert Theater, Moscone West

SEMI today announced that Mike Allison, president of the Semiconductor Division at Edwards, and Daisuke Murata, president and CEO of Murata Machinery, were elected as new directors to the SEMI International Board of Directors in accordance with the association’s by-laws.

Four current board members were re-elected for two-year terms: Bertrand Loy, president and CEO of Entegris; Stephen Schwartz, president and CEO of Brooks Automation; Kyu Dong (KD) Sung, CEO of EO Technics; and Xinchao Wang, chairman of JCET.

Additionally, the SEMI Executive Committee confirmed Tetsuo Tsuneishi, chairman of the Board of Tokyo Electron, Ltd., as chairman of the SEMI Executive Committee. SEMI also confirmed Bertrand Loy, president and CEO of Entegris, as vice-chairman.

The leadership appointments and the elected board members’ tenure become effective at the annual SEMI membership meeting on July 12, during SEMICON West 2017 in San Francisco, Calif.

“The SEMI Board of Directors is comprised of recognized global business leaders who represent SEMI members and the industry, ensuring that SEMI develops and delivers member value in all regions,” said SEMI president and CEO Ajit Manocha.  “We congratulate the re-elected members, welcome Mike Allison and Murata-san to the Board, and are greatly appreciative for all of our board members’ contributions to the industry.”

SEMI’s 19 voting directors and 11 emeritus directors represent companies from Europe, China, Japan, Korea, North America, and Taiwan, reflecting the global scope of the association’s activities. SEMI directors are elected by the general membership as voting members of the board and can serve a total of five two-year terms.

200mm fabs thriving


July 11, 2017

Today, at SEMICON West in San Francisco, Calif., SEMI issued an update to its 200mm Fab Outlook report, with improved and expanded report forecasting of 200mm fab trends out to 2021.  SEMI’s analysts updated information on over 100 facilities, including the latest new facilities and investment projects in China. The extensive new report “Global 200mm Fab Outlook to 2021” by SEMI tracks production, pilot, and R&D 200mm facilities worldwide with special attention on capacity expansions and new facilities.

Examining 200mm capacity over the years, the highest level of 200mm capacity was recorded in 2007 and the lowest following this peak in 2009 (see figure). The capacity decline from 2007 to 2009 was driven by the 2008-2009 global financial crisis, which caused the closure of many facilities, and by the transition of memory and MPU fabrication to 300mm fabs from 200mm.

Since 2009, installed 200mm fab capacity has increased, and by 2020, 200mm capacity is expected to reach almost 5.7 million wafers per month (wpm), and poised to surpass the 2007 peak.  According to SEMI’s World Fab Forecast data, by the end of this year, installed capacity will reach close to 5.4 million wpm.

200mm fab count

Driven by mobile and wireless applications, IOT, and automotive, the 200mm market is thriving.  Many of these products found their niche on 200mm wafers, so companies are expanding capacity in their facilities to the limit, and nine new 200mm facilities are in the pipeline. Looking only at IC volume fabs, the report shows 188 fabs in production in 2016 and expanding to 197 fabs by 2021.

China will add most of the 200mm capacity through 2021 with 34 percent growth rate from 2017 to 2021, followed by South East Asia with 29 percent and the Americas with 12 percent.

The Global 200mm Fab Outlook to 2021, published by SEMI in July 2017, includes two files: a 92-page pdf file featuring trend charts, tables and summaries and an Excel file covering 2010 to 2021 detailing on quarterly basis and fab-by-fab developments.  This is the third update since the report was first launched in 2015.  Since the last report was published in November 2016, the SEMI analyst team has made 232 changes or updates to 132 fabs. The report tracks over 300 facilities using 200mm wafers from R&D, EPI, LED fabs to volume IC fabs.  For more information, visit: http://info.semi.org/semi-200mm-fab-outlook

 

MEMS & Sensors Industry Group® (MSIG), the industry association advancing MEMS and sensors across global markets, is hosting a TechXPOT program today, titled “What’s Next for MEMS & Sensors: Big Growth of Disruptive Applications for Smart Sensing Changes the Business.” Speakers from industry and academia will explore the disruptive influence of MEMS and sensors on applications that span human-machine interfaces, disposable wireless electronics, and wireless sensor nodes for smart cities. They will also discuss advancements in piezoelectric materials for emerging applications as well as MEMS foundry process technologies that speed time to market.

“From smart autos and smart manufacturing to smart cities and smart health monitoring, emerging markets for MEMS and sensors are creating greater demand for integrated intelligence,” said Karen Lightman, vice president, MEMS & Sensors Industry Group, SEMI. “MSIG speakers at SEMICON West will help MEMS and sensors suppliers to more ably respond to this demand, as they learn how to add value through technological innovation and integration.”

Topics and presenters at the MEMS program at the TechXPOT include:

  • What’s Next for the MEMS Industry? ─ Jean-Christophe Eloy, CEO and founder, Yole Développement
  • New MEMS Opportunities from Piezoelectric Technology ─ David Horsley, professor, Mechanical & Aerospace Engineering, University of California Davis
  • Smart IT Systems and Development Protocols Enable Faster Time-to-Market in MEMS ─ Tomas Bauer, senior VP, sales/business development, Silex Microsystems
  • Waggle and the Future of Edge Computing and Smart Cities ─ Pete Beckman, co-director, Northwestern-Argonne Institute for Science and Engineering
  • Roll-up Implementation of Gesture Sensing and Voice Isolation Sensing Wall for Future Human-Machine Interface ─ James Sturm, professor, Electrical Engineering, Princeton University
  • Three Bit NFC Sensor Labels Based on a Flexible, Hybrid Printed CMOS TFT Process ─ Arvind Kamath, VP of Engineering, Thin Film Electronics

MSIG also invites members to attend the MEMS/NEMS Committee Meeting, including a Task Force on microfluidics, from 3:30-5:30 pm on July 13 at the San Francisco Marriott Marquis.

The Linde Group is expanding production of the rare gases used by the semiconductor industry, including xenon, which is in increasing demand for etching 3D semiconductor structures.

This quarter, Linde expects to complete a xenon expansion project at its Alpha, N.J. plant, part of a xenon supply network that spans three continents. Of the three rare gases – neon, krypton, and xenon – xenon is the rarest. Besides extracting xenon from the atmosphere, Linde provides numerous services to conserve and optimize their process use of xenon, said Matt Adams, head of sales and marketing, electronic gases & specialty products. “We have been developing specialized solutions around xenon for 30 years. Recycling xenon can actually make some applications viable that may not have been previously, because there’s not enough product in the world,” he said.

Lithography gas expansions

With increasing demand for neon from DUV (deep UV) multi-patterning lithography and other excimer laser applications, Linde is expanding neon capacity at a newly installed neon production facility in La Porte, Texas.

Linde works with its customers to supply mixtures of neon, fluorine, and other gases for excimer laser patterning applications At SEMICON West, Linde (Bridgewater, N.J.) is discussing its expansion of lithography gas processing capacity at its Medford, Ore. facility.

“By investing in Medford for lithography gas production, it gives us another site and increases our business continuity plan. We work with the OEMs to make sure that we are changing as needed, to make sure that the tools and the fabs are working optimally,” Adams said.

Besides adding purification capacity, Adams said its continuity planning includes strengthening Linde’s supply chain in Europe and managing a portfolio of third-party sources.

With more than 60,000 employees worldwide and around $20 billion in annual revenues, Linde leads the industry in rare gases. “We continue to invest globally in our own sources, and at the same time develop additional supply capacity with our partners,” said Andreas Weisheit, head of Linde Electronics. For example, Linde has more than 35 captive air separation units (ASUs) for rare gas production, and manages a network of external suppliers.

The major lithography equipment and chip manufacturers work with Linde engineers to develop new technologies at Linde’s Centers of Excellence, including a center for laser gases in Alpha, N.J.

Linde spans the gamut of rare gas capabilities, including the design and manufacture of air separation units (ASUs) and rare gas extraction equipment, cryogenic engineering, purification capabilities for neon, krypton and xenon, and high-volume mixing and blending capabilities.

Rare gas production is a multi-stage process, Adams said. For example, a steel company that needs oxygen will have a Linde air separation unit onsite to extract the rare gases. This crude mixture, sometimes referred to as a soup of materials, is further refined — and in some cases undergoes cryogenic distillation — to extract the xenon, krypton and neon.

Because neon is the highest-volume rare gas, Linde has multiplesneon purifiers strategically located around the world. “That speaks to our business continuity planning, that we have these at separate locations. We’re able to process this crude neon into semiconductor grade neon. Of course, it’s similar with xenon and krypton,” he said.

Adams said the neon shortage has been addressed and supply and demand has come back into balance. “That can change with new and different applications. We are starting to see some tightening in the xenon market, due to some applications that are coming online that have a high xenon demand. Which is one of the reasons why we’re making the investment in Alpha, New Jersey,” he said.

Linde Electronics will be exhibiting at SEMICON West, booth number 5952 in the North hall in the Moscone Center. Its focus will be on the leadership that Linde Electronics brings to the semiconductor industry through such offerings as electronic specialty gases, on-site solutions, materials recycling and recovery and SPECTRA® nitrogen plants.

For more information, see The Linde Group online at www.linde.com/electronics.

Alpha Plant

Alpha Plant

By Dave Lammers

Integrating data from various sensors in semiconductor fabs is a key focus in the industry now, and the sub-fab is an increasingly important part of the equation. As process steps become ever more sophisticated and expensive, keeping pumps and other sub-fab equipment running optimally involves integrating multiple pieces of data into useful information.

Paul Rawlings, president of the SEMI Service Division at Edwards, Ltd., said while the semiconductor industry has a history of analyzing tool data and relating it to end processes, more progress is needed in considering the entire fab as an ecosystem.

“The sub-fab equipment also has a bearing, not just in terms of how efficiently we are using data to manage the sub-fab efficiently, but also in terms of improving overall fab performance and yields,” Rawlings said.

Edwards is developing “a structured approach to the kinds of data that we have, and how we use it,” Rawlings said, including a database of best known methods (BKMs) which includes the optimum configurations for pumps, abatements and other systems.

Edwards currently is launching EdCentra, a fault detection and classification (FDC) software platform aimed at the sub-fab. It provides a comprehensive solution to vacuum security, to take one example, by combining equipment monitoring, data acquisition, and analysis of operational data.

EdCentra, Edwards Sub-Fab FDC platform, provides process-critical vacuum and abatement equipment information, complementing Fab-based platforms and supporting industry efforts to create integrated Fab data-management systems.

EdCentra, Edwards Sub-Fab FDC platform, provides process-critical vacuum and abatement equipment information, complementing Fab-based platforms and supporting industry efforts to create integrated Fab data-management systems.

Besides monitoring the performance of the equipment, the EdCentra sub-fab information management system has built-in predictive capabilities. And it complements another Edwards tools, which records service activities.

When a pump is taken back to an Edwards service center, it is stripped down and serviced. “Then we update all of that on to our central database on the lifetime and the performance of our equipment. We have an ecosystem there,” he said.

The company’s overall goal, Rawlings said, is to connect what have been “fairly separate systems,” maximizing up-times in part by comparing the performance of different tools in the same area.

By extending the data analysis ecosystem, Edwards can increase up-times and refine service scheduling. “The data is there. When we connect these systems, that’s where we get all of the benefits from the data. It’s no longer about taking data at individual points along the life cycle. It’s about connecting the data across the journey of the equipment and then looking for optimization, adjustments, and maybe upgrades on the equipment,” he said.

Engineers and data scientists throughout the semiconductor industry are developing more fab-level techniques, using multi-variate analysis of data coming off the tools, as well as other inputs.

For Edwards, that involves looking at data coming from pressure gauges, temperature sensors, the power spectrum, and, increasingly, the very useful information derived from vibration monitoring.

“What we are finding is that by looking at the combinations of data, that’s when things become really interesting. Rather than just relying on one or two points of data on the equipment, we’re starting to build up a library of different parameters. Then we are looking to see how we combine those to give us the most accurate predictions of tool lifetime,” he said.

Edwards is working closely with two fabs to develop data-sharing protocols that allow for optimum monitoring of the sub-fab equipment. “We are looking at it both from their point of view, and ours, discussing different ways of processing the data and the analysis of the performance of the equipment.”

Because of the huge amounts of data involved, Rawlings said “we only transmit data, if you like, that moves. It’s not worth sending data at 10+ Hertz when that particular parameter is not moving. It’s only worth sending that data when a change occurs.”

The work with customers is done within strict limits to ensure data security. “Clearly, you would never want to share any data that could give any indication of what customers do in their fabs and we go to great lengths to safeguard this” he said.

One encouraging sign is that, industry wide, cloud security is becoming more effective, reducing concerns about moving data to the cloud. “The way that end-user data is segregated, the built-in security, is resulting in a little bit more openness in terms of using this data,” Rawlings said.

That said, it is still a difficult area to work with customers. “I won’t mention names, but there are a few folks where we are engaged, so we can move to the next level of validation. I think it’s going to be an area of development over the next few years, as we really focus on the right parameters to measure to predict lifetimes.”

A lot of sub-fab equipment is affected by the processes, more than the basic mechanics of the equipment. It is fairly rare to have a bearing failure on a pump, for example. What is more likely is that the pump will have some process-related issues, such as corrosion or condensate build-up from materials in the fab.

“We spend a lot of time studying the process materials, temperature settings, and those kinds of things to extend equipment lifetimes, but there’s obviously always a limit to what can be achieved. What we are now doing is looking at how the different types of sensors that we have, both already on the equipment, and other ones that we’re developing, can give us the best combination, the best ways of predicting lifetimes. That’s an area that we’ll be working hard on over the next few years for our customers,” Rawlings said.