Issue



Semicon West 2005 Product Preview


07/01/2005







Circuit Analysis Software

Click here to enlarge image

Camelot CAD navigation software includes a new graphical user interface (GUI) and support for both Windows and Linux operating systems, providing productivity improvements for failure analysis, design debug, and yield analysis by moving quickly between design features in circuit layouts and physical features on manufactured ICs. It imports data from all major design platforms to visually represent the circuit design to manipulate, rotate, explode, search, and overlay on a physical representation of the circuit, such as an image from an inspection tool. Layout, netlist, and schematic data are all immediately and simultaneously available from a single tool. A “birds-eye” view in the GUI gives an immediate, intuitive grasp of data correlations; multiple windows allow efficient data organization from various sources and locations; and its re-draw function can be halted mid-stream to avoid completing lengthy, unwanted portions of the redraw operation. Knights Technology, a subsidiary of FEI Company, Hillsboro, Ore., www.knights.com. Booth #2962

Flip Chip Underfill

Hysol FP4548FC underfill for flip chip devices features a low coefficient of thermal expansion properties combined with strength. When fully cured, the material creates a rigid, low-stress seal that dissipates solder-joint stress, extends thermal cycling performance, and facilitates assembly. In most cases, it eliminates post-assembly flip chip cleaning processes, saving manufacturing time and capital resources. Suited for flip chip devices that require crack and fracture resistance, it also is compatible with most no-clean fluxes. Henkel Corp., Irvine, Calif., www.henkel.com. Booth #8501

Mass Imaging Platform

Click here to enlarge image

Galaxy Micron-class high-accuracy mass imaging platform accurately places solder balls at both the wafer and substrate levels, as well as ultra-fine-pitch print and reflow bumping. Equipped with ISCAN (Intelligent Scalable Control Area Network) technology, the Instinctiv user interface, IP-based access to DEK’s knowledge servers through Interactiv, and HawkEye on-board 100% print verification technology, it enables next-generation manufacturing, maximizes uptime, and reduces cost-of-ownership. DEK International GmbH, Flemington, N.J., www.dek.com. Booth #7111

Transmission Electron Microscope

Click here to enlarge image

The existing UltraView tool suite provides semiconductor manufacturers with the ability to collect ultra-high resolution analytical information from wafers, providing process control feedback in minutes and reducing ultra-high resolution analysis cost. UltraView’s newest member, the Titan 80-300 scanning transmission electron microscope (STEM), yields atomic-scale imaging with TEM information limit below 0.7 Å and STEM resolution below 1 Å. Critical applications include characterizing seed/barrier layers for copper interconnect processes, imaging ultra-thin gate oxides, and obtaining high-resolution X-ray composition analysis. FEI Company, Hillsboro, Ore., www.feico.com. Booth #2002

Copper Interconnect Technology

Click here to enlarge image

The Sidewinder copper interconnection technology sends a 10-Gbps signal through a backplane channel that consists of two standard connectors from ERNI and 30 in. of trace, delivering a 60% timing margin at the receiver and using industry-standard NRZ signaling and a 100 mVp-p signal voltage. This technology was created using Aeluros’ AEL1002 SERDES device, which provides a solution for XFP applications, and transmits an 800 mVp-p signal across a 12-in. PCB and one connector. SiliconPipe Inc., San Jose, Calif., www.siliconpipe.com.

Automated Dispensing

Click here to enlarge image

Champion 6809 is a servo-driven, automated dispensing system that features powerful, proprietary software and a GUI that allows for intuitive programming and control, including a large, bright, high-contrast color TFT display. It is configurable to match exacting application requirements from dots to underfills with various options. Placement accuracy is within 3 µm, dispensing dot diameters down to 75 µm with bead width down to 75 µm. Volumes are below 0.5 nl, and its True Volume Piston Positive Displacement Pump is capable of firing up to 90,000 dph to form high-definition dots, lines, fills, and builds. Volume repeatability is 1.7% at 3 sigma. Standard features include a three-axis brushless servo-motor drive; X- and Y-axis linear encoders, and Z-axis rotary encoding. Creative Automation Co., Sun Valley, Calif., www.creativedispensing.com. Booth #7531

Selective Soldering

TPD6-25F, a lead-free selective soldering system, is a stand-alone system that features cost-efficient application, a selective spray fluxer with precision needlepoint and six individual FLIP units - individually programmable on soldering time and soldering height. Top clearance of parts is 150 mm and maximum bottom clearance of parts is 25 mm. Also, the system features a 60-sec. throughput tact time per board/panel, as well as touch-panel operation with up to 20 PCA memories. The system’s preheater uses solder bath temperature, and the spray fluxer is a precision needle spray nozzle that is position programmable. Tamura H.A. Systems Inc., Beaverton, Ore., www.tamura-ha.com. Booth #8551

Cleaning Solvent

AQUANOX A4630 is designed to clean lead-free materials while providing low cost-of-ownership technology. This sixth-generation product brings ambient temperatures, low concentrations, and an MEA-free designed material, exceeding industry standards for both people and environmental safety. Typically used in aqueous, spray-in-air equipment at 10% to 20%, it does not use slump side additives. The cleaner also results in brilliant joints throughout an extended bath life. Kyzen Corp., Nashville, Tenn., www.kyzen.com. Booth #7530

Lead-free X-ray Inspection

Click here to enlarge image

VJE X2500 is a fully automated x-ray inspection system for lead-free solder inspection that reportedly provides high-contrast resolution at higher viewing angles via integrated motion control and image measurement analysis. Its 1-2-GO interface allows users to characterize the entire process with one solution, while offering freedom to inspect from every angle without losing return on investment (ROI). On-screen representation of boards allows for maximum productivity and increased quality based on instant, accurate information, minimizing bottlenecks and maximizing throughput. VJ Electronix Inc., Shirley, Mass., www.vjelectronix.com. Booth #9620

Interconnect Flux

Click here to enlarge image

WS-364 interconnect flux is a high-viscosity, paste-type flux that offers high yields in BGA bumping. Its wide process window and cleanability make it suitable for Sn/Pb and lead-free applications, and is pin-transfer and stencil-printable. Its reflow temperature should be <260°C in an air or nitrogen atmosphere. Indium Corp. of America, Clinton, N.Y., www.indium.com. Booth #8632

Bond Tester

The Series-4000 modular multi-function bond tester meets all pull and shear applications, and can be configured as a simple wire pull tester to 10 kg, and upgraded to provide ball shear to 250 kg, hot and cold die shear to 100 kg, and tweezer pull to 5 kg. Using a frictionless load cartridge system and air bearing technology, it ensures maximum accuracy, repeatability, and reproducibility. Its eight standard test heads each incorporate four default software selectable operating ranges, with six additional heads available. Internal SPC software provides basic analysis. Additional options include cold bump pull, vectored pull for CSP, and auto-testing for fine-pitch applications. Dage Precision Industries, Aylesbury, U.K., www.dageinc.com. Booth #7215

Wafer-mapping Software

Click here to enlarge image

Assembly Line Production Supervisor (ALPS 3) wafer-mapping software includes SEMI E142 substrate mapping standard support, including map visualization and editing for wafers with multiple device types and sizes, stacked and other multichip devices, and burn-in trays. ALPS 3 integrates all equipment from wafer sort through final manufacturing and final test, provides secure factory-to-factory data transfer, and offers device-level tracking for feed-forward and feedback of test and inspection results. Information is available in real-time and may be used to expedite corrective action, as well as improve next-generation chip design. KINESYS Software, Petaluma, Calif., www.kinesyssoftware.com.

Wafer Technology

Click here to enlarge image

These 300-mm strained silicon-on-insulator (sSOI) wafers are designed to enable high-speed, low-power IC applications, including those with high-performance logic cores. The electron mobility enhancement factor of up to 80% is built into the substrate, thus spreading the strain benefits to all regions of the IC and to all transistor geometries. Manufactured using the Smart Cut process, these advanced substrates can provide a high-yielding, easily integrated, next-generation substrate solution. The wafers exhibit stress of 1.5 GPa with homogeneity of ±7% across the entire wafer, equivalent to almost 1% strain. The strained silicon layer is 200-Å thick with ±3% uniformity, with surface roughness comparable to premium bulk silicon wafers. Wafer strain is maintained at up to 1,100ºC, resulting in a process window sufficient to accommodate thermal budgets needed for advanced CMOS integration. Soitec, Grenoble, France, www.soitec.com. Booth #5425

Plasma Treatment

Click here to enlarge image

FlexTRAK is a highly configurable, high-throughput plasma treatment system that supports several handling configurations to accommodate many variable-size form factors. Configurations include reel-to-reel, wafer processing, standalone for island-based production environments, and magazine-to-magazine processing for single and multiple strips or leadframes. Capabilities include direct, downstream, and ion-free plasma processing for treating a wide range of semiconductor devices. Changeover from one strip size to another takes less than 10 min. with its integrated CAM structure, eliminating time-consuming hardware changes. With up to 800 strips/hour throughput capability, the system’s 3-axis symmetrical chamber and proprietary process control provide treatment uniformity and short cycle times. Its platform is both Internet- and LAN-ready, and real-time process representation allows statistical data gathering. It also integrates with wire bond, die attach, dispense, mold, and marking equipment. March Plasma Systems, Concord, Calif., www.marchplasma.com. Booth #8333

Linear Flow Valve

Click here to enlarge image

The Dymatrix NMV valve features a needle plug with a PTFE diaphragm seal, allowing for precise linear flow control. Constructed from high-purity PTFE, the valve is ideal for HP chemical processing and CMP applications. Its design features true linear flow characteristics, a diaphragm that isolates moving parts, and no wetted threads or particle generation. Manually operated, it is available in straight, vertical, angle horizontal, angle L horizontal, and angle R models. It provides a high Cv rating and an extended cycle life, and is available in 1/4- to 1/2-in. sizes with flare and other common tube connections. Asahi/America Inc., Malden, Mass., www.asahi-america.com. Booth #117

Mask Imaging System

Click here to enlarge image

The IX-3000 Class 1 UV-excimer-based laser step-and-scan ablation system is designed for micro-machining, drilling, and laser processing of various materials. Also designed for high-volume throughput, it is also suitable for large field of view (FOV) processing applications. Surrounded by a cleanroom enclosure with HEPA filtration, the enclosure’s filtration units are mounted on its roof. Available primarily to operate at 248- or 193-nm UV wavelengths, a variety of different types of lasers are also available, all with resolution down to 1 µm. Optical resolution, repeatability, and structural accuracies are sub-micron with 0.2- to 0.3-µm repeatability. Granite-based and vibration- and thermal-dampened for optimum stability, the system is optimized for high-speed operation. J P Sercel Associates (JPSA Laser), Hollis, N.H., www.jpsalaser.com. Booth #5783

Coating System

Click here to enlarge image

The PRISM Ultra-Coat system delivers precise coating application of fluxes, photo resists, and conductive inks onto any substrate, using ultrasonic technology with a titanium spray head and a digitally controlled liquid delivery system. Depending upon the coating application, thicknesses range from sub-micron to 25 µm. Features include an X-Y-Z gantry with ball-screw drives and closed-loop servo controls. The system can handle all substrates up to 450 x 450 mm, and is available with batch-mode or conveyorized configurations. Ultrasonic Systems Inc., Haverhill, Mass., www.ultraspray.com. Booth #9311

BGA Adapter Set

Click here to enlarge image

The Giga-snaP SF-BGA1936A-B-42 BGA and LS-BGA1936A-41 adapter set consists of patent-pending female sockets with machined pins that are epoxy over-molded into an assembly that matches the male pins. The set solders to a PCB using standard soldering methods without warping. Both adapters are constructed with an FR-4 body, assuring a match with target PCBs and preventing CTE mismatch failures. Physical length from the top connection point on the male adapter to the solder ball on the female socket is 4.5 mm, providing optimum high-frequency signal transmission. Ironwood Electronics, Eagan, Minn., www.ironwoodelectronics.com. Booth #8627

Package Adhesives

Click here to enlarge image

ABLELUX AA50T adhesive and ABLEBOND BF-4 backfill materials are designed for the active alignment and assembly of transceiver packages. The combined use of AA50T and BF-4 provides an alignment which can withstand changes in temperature and humidity. ABLELUX AA50T adhesive is a UV/visible (470-nm blue) light cure material that combines quick fixturing and low shrinkage with low outgassing. ABLEBOND BF-4 thermal cure epoxy backfill material is matched to AA50T to provide additional structural strength and protection for aligned components. BF-4 is a single pack, premixed, and frozen epoxy that eliminates the cleanliness, mix reliability, and waste issues associated with the use of two-part epoxy backfill materials. Ablestik, Rancho Dominguez, Calif., www.ablestik.com. Booth #7413

Wafer Bonder

The EVG520IS semi-automated wafer bonder is configurable for pilot-line or volume production for wafer-level and advanced packaging, 3-D interconnects, and MEMS fabrication. Features include proprietary symmetric rapid heating and cooling chuck design, as well as a modular bond chamber design for 150-, 200-, and 300-mm wafers. The EVG520IS also accommodates demanding MEMS applications with high-vacuum, precisely controlled fine-vacuum, and pressurized device manufacturing. EV Group, Schärding, Austria, www.evgroup.com. Booth #5426

Flip Chip Production Line

The 8800 FC Smart Line interlinks three machine modules: the 8800 FC Smart Line Flip Chip Bonder with integrated dispenser, a curing station with integrated electrical testing, and an optical inspection and reject-marking unit. A dual-head dispensing system, with needles for small dies and a shower head for large dies, offers fast, precise adhesive application, with two online cameras that monitor exact substrate adjustment and check for correct adhesive application. The dual-head flip chip bonding system ensures 8500-uph throughput with 25-µm at 3 sigma process accuracy. The adhesive cures with a multi-thermode bond head, guaranteeing quick pitch change between 9.5 and 14.25 mm. Fully automatic cover-tape handling prevents thermode contamination by adhesive.Datacon Technology AG, Radfeld, Austria, www.datacon.at. Booth #7549

Assembly Work Cell

The MRSI-M5 5-µm assembly work cell provides advanced assembly solutions for complex epoxy die attach, eutectic, and flip chip bonding for microwave modules, RF circuits, MEMS, advanced semiconductor packages, multi-chip modules, hybrid devices, and photonic packages. Its entire base is formed of a cast polymer composite specifically engineered for its thermal stability and vibration-dampening properties. A large work area accommodates various waffle packs, Gel-Paks, wafers, feeders, and a conveyor. Its fully automatic material handling conveyor operates in-line or cassette-to-cassette. Advanced features include “feather-touch” force control, combined with closed-loop force feedback, providing the ability to handle delicate devices, such as GaAs and InP, without damage to internal die features. 360º orientation and pattern matching enables vision processing for challenging die and substrate materials. Newport Corp., North Billerica, Mass., www.newport.com. Booth #7527

Wafer Backgrinding

Click here to enlarge image

The 3M Wafer Support system is designed for ultra-thin wafer backgrinding, and is an alternative to the conventional tape process for backgrinding. Capable of producing wafers as thin as 20 µm, the system’s approach allows for semiconductor manufacturers to use existing grinding equipment to produce thinner wafers at faster grinding speeds, with increased yields. Its equipment includes a wafer mounter to attach the wafer to the glass plate with liquid adhesive, a wafer demounter to separate the glass and adhesive from the wafer after the backgrinding process, and a glass cleaner/coater. Consumable materials include ultra-clean, UV-curable spin-on adhesive and a light-to-heat conversion (LTHC) coating. The LTHC layer enables separation of the adhesive from the glass after the backgrinding process. 3M Electronics Materials Division, St. Paul, Minn., www.3m.com. Booth #7237

Dispensing System

Click here to enlarge image

Delivering an increased speed rating of more than 30% and twice the accuracy, the XyflexPro+ dispensing system features an advanced composite gantry design structure and linear drive system. The platform is available as a standalone or in-line system, and may easily be configured for various dispense applications within the electronics, automotive, and medical industries. Speedline Technologies, Franklin, Mass., www.speedlinetech.com. Booth #8705

CMOS Test System

Click here to enlarge image

The S510 semiconductor reliability test system is a high-channel-count, turnkey solution for reliability testing and lifetime modeling of ULSI CMOS processes at the 65-nm node and beyond. It can also be used for production wafer-level reliability monitoring or as a lab parametric test system, and features scalable channel counts from 20 to 72 channels, an independent stress/measure channel for each structure, and simultaneous measurement across all channels. Keithley Instruments Inc., Cleveland, Ohio, www.keithley.com. Booth #7811