Issue



SEMICON China 2005 Product Preview


03/01/2005







Package Adhesives

Click here to enlarge image

ABLELUX AA50T adhesive and ABLEBOND BF-4 backfill materials are designed for the active alignment and assembly of transceiver packages. The combined use of AA50T and BF-4 provides an alignment that can withstand changes in temperature and humidity. ABLELUX AA50T adhesive is a UV/visible light (470-nm blue) cure material that combines quick fixturing and low shrinkage with low outgassing. ABLEBOND BF-4 thermal cure epoxy backfill material is matched to AA50T to provide structural strength and protection for aligned components. BF-4 is a single pack, premixed, and frozen epoxy that eliminates issues such as the cleanliness, mix reliability, and waste associated with the use of 2-part epoxy backfill materials. Ablestik, Rancho Dominguez, Calif., www.ablestik.com.

LED Light Source

Click here to enlarge image

Loctite 7700 Handheld LED light source is a UV/visible, light-emitting handheld device that offers a high-powered, portable design capable of consistently curing many light-cure products. Due to its LED technology, the light source provides instant on/off performance and consistent light output at 500 mW/cm2 at a wavelength of 405 nm. The system’s spectral output drastically reduces IR output, virtually eliminating heating of assemblies and minimizing the amount of UV energy that the system irradiates. Less than 1-ft. long and less than 0.5 lbs., it is suitable for applications in crowded areas and hard-to-reach joints. Henkel Loctite Corp., City of Industry, Calif., www.henkel.com.

Flip Chip Flux

Click here to enlarge image

PK-002 flip chip epoxy flux is for use in flip chip, CSP-attach, and ball-attach applications where high shear strength or compatibility with underfill is required. Designed for higher temperatures with lead-free alloys, it also provides flux and adhesion performance at lower temperatures required for tin/lead soldering. As a flux, it provides a powerful metal surface oxide cleaning capability during reflow for good solderability. As an adhesive, it cures after reflow to become a thermosetting polymer layer surrounding the solder connection - providing protection against corrosive environments and reinforcement against lifting of UBM pads under stressed conditions. Indium Corp. of America, Clinton, N.Y., www.indium.com.

Plasma Treatment System

Click here to enlarge image

FlexTRAK is a high-throughput plasma treatment system that supports several handling configurations to accommodate variable-size form factors, such as magazine-to-magazine processing for single and multiple strips or lead frames, reel-to-reel, wafer processing, and standalone configuration for island-based production environments. The system is capable of direct, downstream, and ion-free plasma processing for treating semiconductor devices. It integrates with a wafer-handling robot for treating wafers as large as 300 mm, or configures with other magazine- or strip-handling equipment. With throughput capability of as much as 800 strips per hour, the system’s small, three-axis symmetrical chamber and proprietary process control provide treatment uniformity and short cycle times. The platform is both Internet- and LAN-ready, and real-time process representation allows statistical data gathering. March Plasma Systems, Concord, Calif., www.marchplasma.com.

Die Bonder

The 2200 APM die bonder provides users with flexibility in minimum space requirements, handling dies ranging from 0.17 to 35 mm. Linear drives for the X, Y, and Z axes and a separate servomotor for the theta axis, combined with automatic temperature-drift compensation, give placement accuracy of 10 µm at 3 sec. For high-production runs, up to four modules can arrange consecutively to multiply machine throughput through parallel processing. For multichip applications, it is equipped with an automatic tool changing system with six different pickup or stamping tools, a needle ejector system with five different eject tool kits, and an automatic wafer-changing system that handles up to 25 different wafers. Datacon Asia Pacific Pte. Ltd., Singapore, www.datacon.at.

Dispensing System

Click here to enlarge image

DispenseMate 550 Series dispensing system is ideal for batch processing or prototyping. Two models are offered with different dispensing areas; the 553 model offers a combined dispense and vision area of 325 × 325 mm, and the 555 model provides 525 × 525 mm. Optional features, such as automatic pattern recognition and CAD import, make the system useful for advanced electronics manufacturing environments. Features include a small footprint, industry-proven FmNT software, digital gages for high accuracy, and the availability of automatic pattern recognition and CAD import. Asymtek, Carlsbad, Calif., www.asymtek.com.

Stackable BGA

PS-etCSP stackable BGA is capable of an extremely thin, 0.5-mm maximum mounted height. This package can squeeze into applications requiring a thin form factor, and is constructed using conventional IC processing - including standard wire bonding, molding, and substrate infrastructure. The resulting package consists of three to four peripheral rows of solder balls to achieve around 300 I/Os. Its design is ideal for ASIC IDMs; memory vendors such as NOR, NAND, SDRAM, cell phones, and handsets; digital still cameras and camcorders; mid-range consumer products; and other portable products where vertical height is limited. Stacking is easily achieved with proper substrate designs, creating an opportunity to multiply memory capacity without increasing board area. Amkor Technology, Chandler, Ariz., www.amkor.com.

Tutorial CD

Click here to enlarge image

An interactive tutorial CD on reliability testing for semiconductor test engineers, “Understanding Measurements: Essential Reliability Testing Techniques,” provides information related to stress-measure testing of semiconductor devices, new measurement techniques, and how to improve test throughput and maintain data integrity. The CD contains three Web seminars that discuss a variety of testing issues in detail. Tutorial white papers cover issues related to materials testing and electromigration testing, and several product application notes describe methods for conducting a wide range of semiconductor device tests. Keithley Instruments Inc., Cleveland, Ohio, www.keithley.com.

Sputter Deposition

The Nimbus high-throughput sputtering system enables simultaneous processing of batches of wafers to deposit multiple layers for UBM, backside metallization, redistribution, and integrated passives. Available for either automated or manual wafer loading, it uses a common platform for wafer sizes from 50 to 300 mm; the front-end configuration changes, depending on wafer size. The automated version consists of an atmospheric front-end, vacuum loadlock chamber, etch (pre-clean) chamber, and a multi-target batch deposition chamber for 200- and 300-mm wafers. The semi-automated version loads wafers manually in the loadlock, and tray transport is identical to that in the fully automated Nimbus for substrate sizes up to 300 x 600 mm. Nexx Systems Inc., Billerica, Mass., www.nexxsystems.com.

Wafer Inspection System

The 3Di Series wafer inspection system is designed to fulfill the most critical need of bumped device manufacturers: timely, accurate, complete bump data. With patent-pending RCS technology, it offers a solution for acquiring important 3-D data, such as bump height, coplanarity, and other defects. Additional features include 2-D inspection and metrology, pre- and post-reflow, and other wafer-level packaging inspection (such as redistribution lines, thick films, vias, and intermediate interconnects). It inspects whole wafers from 75 to 300 mm, and sawn wafers up to 300 mm on film frames. August Technology Corp., Bloomington, Minn., www.augusttech.com.

Automated Material Handling

Click here to enlarge image

FasTrack Continuous Flow Transport (CFT) is a fab-flexible, continuous material handling solution for high-volume, 300-mm fab production. It provides a fully integrated interbay/intrabay transport solution, reducing cycle time and eliminating bottlenecks at stocker I/O and AMHS interface points. CFT architecture provides >300 moves/hr. by intrabay, and >1500 moves/hr. by interbay. Zero-footprint storage (ZFS) saves floor space and increases overall equipment effectiveness (OEE). Its low ceiling profile and loading supports 200- to 300-mm facility upgrades. Asyst Technologies Inc., Fremont, Calif., www.asyst.com.

Dielectric Resin

Porous SiLK Y resin features an average pore diameter of <2 nm and a pore size distribution range of 1- to 3-nm. The resin also provides an optimized CTE profile to enhance device reliability and ease overall material integration, and incorporates a closed-pore structure with evenly distributed pores, yielding an ultra-low-k value (2.2), while maintaining mechanical toughness and compatibility with conventional BEOL unit operations - especially chemical mechanical planarization (CMP). The porous material demonstrates a surface roughness comparable to non-porous materials, enabling the extension of timed-etch integration schemes. With the closed-pore morphology, the sheet resistance of the tantalum barriers can be reduced. Dow Chemical Company, Midland, Mich., www.dow.com.

Process Flow Controller

Click here to enlarge image

Process Flow MegaTorr purifies oxygen, hydrogen, nitrogen, rare gases, and clean, dry air at flow rates up to 6 nm3/hr. The small, optimized footprint features a fully automatic regeneration system that is microprocessor-controlled, avoiding any cartridge change-out. Standard features include a fully automated microprocessor controller with closed-loop process control, a touch-screen LCD human machine interface (HMI), multi-tiered fault detection and response programming, and a hermetically sealed hydrogen blending station. SAES Pure Gas Inc., San Luis Obispo, Calif., www.puregastechnologies.com.

High-temperature Material

Click here to enlarge image

Chemical vapor deposition (CVD) silicon carbide is a high-temperature material with a sublimation temperature of about 2,700ºC. In an inert environment, this material can be used up to 2,000ºC. Above this temperature, there is an onset of phase change, from cubic beta phase to hexagonal alpha phase. It can be safely used up to 1,500ºC in air with good thermal- and mechanical-property retention. Bulk CVD process produces high-purity (>99.9995%) solid cubic beta SiC components for wafer processing and handling equipment. Free- standing monolithic parts of solid CVD silicon carbide offer chemical resistance, thermal conductivity, stiffness, and thermal shock resistance, exhibit low particle generation, and are free of graphite and other contaminants. It is available in both low (<10 µ-cm) and high (>1,000 µ-cm) electrical resistivity grades. Rohm & Haas Electronic Materials, Philadelphia, Pa., www.rohmhaas.com.

Lithography System

Click here to enlarge image

Designed to optimize productivity for 300-mm wafer fabs, the AP300 supports a lower cost-of-ownership strategy, thanks to throughput enhancements, higher reliability, and alignment and illumination systems. In addition, using non-contact 1X projection imaging technology reduces the risk of lithography-related yield losses, while also providing capabilities required for processing advanced packaging devices. Broadband illumination capability enables exposure of i-, gh-, and ghi-line resists. Its patented machine vision system (MVS) capability eliminates the need for dedicated alignment targets, simplifying process integration. Fully automatic wafer change supports 200- and 300-mm wafers. Features include improved wafer-edge exposure and exclusion capability, self-diagnostic capability, and enhanced host communications. Ultratech Inc., San Jose, Calif., www.ultratech.com.

Stencil Printer

Click here to enlarge image

AccuFlex stencil printer is suited for moderate-volume, high-mix printing, producing about 8,000 boards per week, with two or more product changeovers per shift. The system handles boards from 3 × 2 in. to 23 × 20 in., and is capable of printing 12-mil-pitch devices. A high- performance option reduces cycle time up to 5 sec., and a triple-track conveyor system with 3-mm, bottom-side edge clearance provides improved board flow and integration flexibility. Options, such as the Gel-Flex tooling system, programmable stencil positioning, and off-line recipe programming, reduce or eliminate operator intervention during changeovers to increase printer uptime. Production yields are increased with a temperature control unit (TCU), real-time, closed-loop pressure control, a 2-D inspection system, and MPM’s Rheometric Pump print head. Speedline Technologies, Franklin, Mass., www.speedlinetech.com.

Wafer Processor

Da Vinci Series single-wafer, surface-preparation spin processor is a high-volume, wet-spin-processing solution. It includes the 300-mm DV-38F for back-end-of-line (BEOL) polymer cleaning, backside etch and clean, and processing emerging applications, such as high-k, metal gate, FRAM, and MRAM technologies on 200- and 300-mm wafers at the 90-nm and below technology nodes. Designed for high-volume manufacturing, and flexible enough to use both organic and inorganic chemistries, the technology platform maximizes yields for advanced ICs. Additional benefits include maximized throughput, minimized footprint, advanced handling, and reduced media consumption. SEZ China Co. Ltd., Shanghai, China, www.sez.com.

Dispensing Workstation

Ultra 2400 workstation applies accurate, consistent amounts of adhesives, lubricants, silicones, epoxies, and other fluids. It features a vertical design that conserves benchtop space, and a footprint 60% smaller than standard electro-pneumatic dispenser configurations. Options include a flexible task light, a 1.7× magnifier for precision close-up work, a flexible syringe barrel holder that acts as a “third hand,” and an ergonomic barrel grip with a touch-sensor finger switch and LED spotlight to illuminate the dispensing area. EFD Inc., East Providence, R.I., www.efd-inc.com.

Mask Aligner

Click here to enlarge image

With full-field proximity exposure of thick resist layers, the EVG6200 Infinity Mask may be upgraded with a bottom-side microscope for MEMS applications. The new aligner is optimized for high throughput, high mean time between failures, and accurate print-gap settings. It supports a wide range of configurations, including large gap alignment and bowed- and thin-wafer processing. Equipped with NanoAlign technology, the EVG6200 Infinity improves full-field lithography by providing high alignment accuracy and resolution. EV Group, Schärding, Austria, www.evgroup.com.

Macrodefect Inspection System

Click here to enlarge image

WaferView 320 Turbot macrodefect inspection system offers ultra-high throughput inspection of the front, back, and edge of wafers for lithography issues. This provides the opportunity to rework and recover more wafers before undergoing irreversible processing steps. Each dual-inspection module independently and simultaneously scans the front surface of a 300-mm wafer for defects 20 µm and larger in approximately 30 sec. The system’s ReviewScope drives to the defect location identified by an i-Mod system for analysis, eliminating transportation and queuing delays between separate standalone inspection and review tools that typically can exceed 30 min. with 300-mm automation. It also creates and optimizes inspection recipes for i-Mod systems, allowing track equipment to run interrupted, and to serve as a backup tool during i-Mod maintenance. Rudolph Technologies Inc., Flanders, N.J., www.rudolphtech.com.

Parallel Seam Sealer

Model 2400e Parallel Seam Sealer incorporates closed-loop, software-controlled, 6-axis coordinated motion, 5 lead screws, and a motorized rotary table with an electronic brake. The power supply uses digital signal processing for per-pulse power control. Automation features that eliminate placement errors and ensure uniformity along the seal path include pre-seal lid placement inspection driven by a gray-scale vision system, electrode height control with linear encoder feedback, real-time force control, digital power control with package detection, resistance feedback, and on/off determination. Suitable for sealing in prototyping or smaller production volumes, its capabilities include welding or soldering in linear or rotary mode, parts one-up, in-matrix tooling, Auer boats to 12.3 × 5.1 in., and various packages 2 mm2 to 12 × 8 in. Solid State Equipment Corp., Horsham, Pa., www.ssecusa.com.

Wafer Prober

EG6000 300-mm production wafer prober is available in two versions. The base system offers an accuracy of ±2.5 µm. The “S” version offers an optional package that increases accuracy to ±1.5 µm. It uses proprietary motion control that yields up to 30% improvement in stepping time, while eliminating any negative effects on test from external stimuli in the production environment. An embedded statistical modeling engine determines changes needed due to temperature variations. Adjustments to the probe-to-pad contact position are made, as required, to automate handling of temperature changeover without alignment tools or operator intervention. It is designed to handle advanced devices with copper interconnects and low-k dielectrics, and to avoid pad or substrate damage. Using a proprietary chuck position sensor with 100-nm resolution, the MicroTouch feature allows deceleration of the Z-stage as the probe pins contact the bond pads, decreasing impact force.Electroglas Inc., San Jose, Calif., www.electroglas.com.

Bonding Wire

RADIX gold bonding wire is engineered for higher intermetallic stability in demanding wire bonding applications. This wire has shown reliability on many aluminum bond pad compositions and thicknesses, and is available in a wide range of diameters, including sizes typically used in high-power applications. It decreases hardness on free-air balls (FAB), allowing for bonding on sensitive device structures, such as low-k dielectrics and over active circuitry. Kulicke & Soffa Industries Inc., Willow Grove, Pa., www.kns.com.