Issue



Seeing the invisible: Non-destructive subsurface nanoscale metrology with scanning near-field ultrasound holography


07/01/2009







Countless benefits can be gained for dozens of industries with the ability to observe invisible elements, especially contaminants, at the nanoscale. Finding a way to manipulate and cure imperfections should leap us forward to longer, healthier lives for both our semiconductors and citizens. Comparable to how x-ray technology, MRI and sonography transformed the practice of medicine, a new approach for seeing the unseen promises great potential for finding new ways to improve the state of human and microelectronic patients alike.

By Vinayak P. Dravid and G. Shekhawat, Northwestern University

Seeing the invisible through a non-destructive, real-space imaging of buried or embedded structures and features below 100nm is a formidable challenge. Non-invasive radiations such as light and acoustic waves suffer from classical diffraction limit to attain sub-100nm resolution. High-energy probes, such as electrons, are invasive and require extensive and laborious specimen preparation. X-ray, or neutron, probes are difficult to focus down to sub-100nm scale and can also be invasive, especially for soft structures. Scanning probe microscopy (SPM) offers superb resolution but is sensitive to only surface features and phenomena.


Table 1. Various microscopy techniques, and their relevance to important metrology criteria. The question mark (?) implies limited conditions under which the technique satisfies the criterion.
Click here to enlarge image

To address these barriers, with the support of SRC and its members, we have developed a new approach in non-invasive, sub-surface, nanoscale metrology: Scanning near-field ultrasound holography (SNFUH), which combines the non-destructive nature of acoustic waves, high-spatial resolution scanning-probe platform and phase-sensitive holography paradigm. Its capabilities and results have not previously been possible without slicing the sample, which changes both the composition and structure and sacrifices characteristics of the studied subject.

In SNFUH, a high-frequency (=1MHz) acoustic wave is launched from below the specimen, while another acoustic wave at slightly different frequency is launched on the SPM cantilever. The resultant “surface standing ultrasound wave” is monitored by the SPM tip as an acoustic antenna, such that scattered phase and amplitude of the specimen acoustic wave are registered point-by-point. The resultant spatial map provides viscoelastic contrast offered by the phase sensitivity of acoustic wave.

SNFUH offers non-destructive nanoscale imaging of embedded and buried structures at unprecedented spatial resolution, more than 10–20nm, for a wide variety of materials, both hard, soft and hybrid. We believe SNFUH ushers a new era in non-destructive nanoscale metrology and opens new vistas for a multitude of applications. It offers not only an in-line, non-invasive metrology toolset for defect analysis and quality control in current generation microelectronics, but also for emerging and future nanoscale structures and devices.

History of intrigue

Microscopy has come a long way since the first observations were made through homemade optical microscopes in the mid-seventeenth century: snow flakes by Robert Hooke, an Englishman, and spermatozoa by Dutch scientist Anthony van Leeuwenhoek. The past two decades in particular have witnessed remarkable developments in real-space imaging techniques, ranging from atomic-scale SPM of surfaces to sub-microscale confocal imaging of biological structures. Remarkably, however, there is a notable absence of techniques for non-destructive imaging of embedded or buried sub-surface features at nanoscale resolution.


Figure 1. A schematic illustration of SNFUH approach. A high frequency acoustic wave is launched from below the specimen, while another high frequency acoustic wave (but at slightly different frequency) is launched on the SPM cantilever. SNFUH electronic module is used to spatially monitor the phase perturbation to the standing surface acoustic wave, which results from scattered specimen acoustic wave. The resonant frequency of the typical cantilever, f0, is in the 10-100 kHz range.
Click here to enlarge image

There is a clear void between the two ranges of length-scales offered by non-invasive imaging techniques, such as confocal/multi-photon or acoustic/sonography techniques, and x-ray/neutron imaging. As materials, structures, and phenomena continue to shrink and the micro/nanofabrication paradigm moves from planar to 3D/stacked platforms, there is an acute need to image and analyze surface/sub-surface features and phenomena, non-invasively, at ultrahigh resolution and sensitivity, coupled with usual ergonomic/economic considerations.

Non-destructive imaging is obviously critical in the microelectronics industry, given ever-reducing profit margins and concomitant need for improved yield. Reduced time-to-market and imperative quality control in an ever-complex multitude of processes provide both the challenge and reward for this research.

The entirely new, “out-of-the-box” SNFUH imaging approach is the only option that meets all necessary criteria for adoption by industry. Various current characterization tools for sub-surface imaging, force modulation microscopy, nanoindentation, and picosecond ultrasonic or photoacoustic probes address some aspects of the sample. Each application, however, fails to meet one or more key criteria regarding spatial resolution, quantitative capability or non-destructive nature (Table 1). This is particularly true if features of interest are buried deeper into the material, beyond the interaction range of proximal probes.

Several SPM-based techniques have been introduced in recent years with mixed results in the context of sensitivity to surface nanomechanical variations or sensitivity to embedded or buried features or quantitative extraction of nanomechanical contrast. Force modulation microscopy (FMM), ultrasonic force microscopy (UFM), and heterodyne phase microscopy (HPM) are notable techniques which have enjoyed some success for nanomechanical mapping of elastic and viscoelastic properties of soft and hard surfaces. Wider deployment of these techniques, however, is generally inhibited by lack of reproducibility, environmental effects in usual contact mode of imaging and lack of compelling evidence for sensitivity to buried and embedded structure and ease of use.

Basic concept of SNFUH

The SNFUH development integrates three major approaches with a unique combination of the SPM platform, which enjoys excellent lateral and vertical resolution. Coupled with microscale ultrasound source and detection–which facilitates “looking” deeper into structures, slice-by-slice–it offers a novel holography approach to extract and enhance phase resolution and phase coupling in imaging.


Figure 2. Schematic illustration of origin of perturbation to surface acoustic standing wave in SNFUH
Click here to enlarge image

SNFUH involves launching a high-frequency (a few MHz) ultrasound wave from the bottom of the specimen, while another wave is launched on AFM cantilever at a slightly different frequency (Figure 1). The interference of these two waves would nominally form products and so-called “surface-standing ultrasound wave,” and both amplitude and phase components are monitored via lock-in approach by the AFM tip.


Figure 3. Conceptualization of the SNFUH module.
Click here to enlarge image

As the specimen acoustic wave gets perturbed by sub-surface and surface features, especially its phase, the local surface acoustic interference is effectively monitored by the AFM tip. Thus, within the near-field regime, the acoustic wave–which is non-destructive and sensitive to mechanical/elastic variation in its path–is fully analyzed, point-by-point, by the AFM acoustic antenna in terms of phase and amplitude. As the specimen is scanned across, a pictorial representation of perturbation to the surface standing acoustic wave is fully recorded and displayed, to offer quantitative account of the internal microstructure of the specimen.

Image formation

The mechanism for the formation of the acoustic standing wave and the origin of the contrast and high sub-surface sensitivity in SNFUH can be conceptually understood with reference to Figure 2. In SNFUH mode, the perturbation to the surface acoustic standing wave, resulting from specimen acoustic wave scattering, is monitored by SPM acoustic antenna. The resulting cantilever deflection merely follows the perturbation to the surface standing acoustic wave, which represents the dissipative lag/lead in the surface response with respect to the tip reference frequency, i.e. the time of flight delay of the specimen acoustic waves reaching the sample surface. Extracting the spatial dependence of this phase term provides image contrast indicative of the relative elastic response of the buried structures, interfaces, and embedded defects to the specimen acoustic wave. This results in a surface-standing acoustic wave.

In a homogeneous specimen (Fig. 2, left panel), the surface-standing acoustic wave is merely the interference of specimen and cantilever acoustic waves without any local perturbation. On the other hand, if a scattering feature is present below the specimen surface (Fig. 2, right panel), the scattering of the specimen acoustic wave results in local perturbation to the surface acoustic standing wave, which is registered by the SPM cantilever antenna.

The contrast variation, or sensitivity, in SNFUH arises from the acoustic phase difference between the matrix and the feature. The acoustic wave propagation is directly related to the elastic modulus difference. The lateral spatial resolution is governed by the SPM probe interaction with the acoustic standing wave at 10-15nm. The degradation of spatial resolution should be commensurate with depth, beyond the near-field regime, because of far-field scattering and diffraction.

Because the acoustic phase information is spatially recorded, it is possible to obtain, via modeling, depth distribution of phase and to convert the data into a 3D tomography map of the embedded features.

Research tools

Our research employed a conventional JEOL SPM 5200 scanning probe microscope system with a modified stage and cantilever holder system. The feedback electronics of the system was modified and an electronic module, developed in-house, was implemented along with an RF lock-in approach to extract the measurable sub-surface phase component of the acoustic wave.

It’s important to note that SNFUH can be readily adapted to any other commercial SPM platform, with minor modifications.

Commercial piezoelectric ceramics are used to provide ultrasonic vibrations to the sample and the cantilever. Each oscillator has an out-of-plane resonance at approximately 2.1–2.5MHz and 2.3–2.8 MHz, respectively.


Figure 4: Buried copper interconnects below the surface, unseen with a typical AFM/SEM (left), but revealed in a SNFUH phase image (right).
Click here to enlarge image

The SNFUH electronic module (Figure 3) monitors the difference frequency input to an RF lock-in amplifier as a reference. Closely matched piezocrystals are used to keep the frequency difference below the cut-off frequency of the SPM photodiode (<1MHz). The difference frequency is chosen in view of the optical detection limitation of the SPM photodiode. The images are acquired using the soft contact mode for hard structures, and near-contact mode for biological structures.

The SPM differential photodiode signal constitutes an input to the SNFUH electronic module, which enables simultaneous extraction of topography, acoustic amplitude and the acoustic phase, to form respective images. The topography images are obtained using normal optical feedback of the system, while the SNFUH electronic module provides the phase component of the acoustic wave for the sub-surface contrast.

Implications for microelectronics metrology

Having firmly established the proof-of-concept behind SNFUH, we have developed an extensive portfolio of SNFUH applications to diverse problems in physical sciences, engineered systems, and life sciences. In collaboration with SRC member companies, we are particularly focused on the potential applications of SNFUH in critical metrology needs for microelectronics.

In addition, biomedicine is moving toward use of nano-bio-structures to interrogate cells and deliver therapeutic cargo. As this requires a non-invasive view inside the cells to monitor what happens under physiologically viable conditions, SNFUH can play a key role.

Our model experiments have helped to quantify SNFUH parameters, which are directly relevant to several critical metrology challenges. Those include:

  • Identification of buried defects in multi-layer thin-film stacks and nanopatterned structures;
  • Buried defects identification in multilayer photoresist films;
  • Stress migration in 3D MEMS structures, devices, and cracks in bonded wafers;
  • Quantitative modulus mapping of multilayer films;
  • Identifying buried voids in copper vias and interconnects; and
  • Nanomechanical properties of low-k dielectrics material and porous structures

The next nano-metrology toolset

Further refinement of SNFUH, and its quantitative understanding, provide considerable promise for an entirely new nano-metrology toolset. Next steps in development of the technology include system integration, material handling, faster scanning, and high throughput of results. In-line tools and methods for addressing these needs will be created by a new spin-off company, NanoSonix.

In the next 12 months, the spin-off will develop an add-on module for existing commercial SPM equipment in order to meet associated off-line metrology requirements. Availability of such an add-on module will make this technology accessible to a wider community, not only in semiconductor metrology but also in bio-application for both academia and industry to look deep below surfaces non-destructively with nanoscale resolution.


Vinayak P. Dravid is professor of materials science and engineering and the director of the NUANCE Center at Northwestern University. E-mail: [email protected].
G. Shekhawat is Research Assistant Professor at the Northwestern University Institute of Nanotechnology.