Issue



An update on ISO Technical Committee 209


12/01/2006







The five-year review process has begun and some documents are now being revisited

By Robert L. Mielke, Abbott Laboratories, and secretary of ISO Technical Committee 209

Background
In 1993, ISO Technical Committee 209, Cleanrooms and associated controlled environments, was formally established and had its first meeting in Chicago, Illinois. Over the past 13 years there have been 18 meetings, the last of which was held in Beijing, China, in September of this year. In those 13 years a great deal has been accomplished. Eight documents have been published: ISO 14644 Parts 1, 2, 3, 4, 5, 7 and 8, and ISO 14698 Parts 1 and 2 (see Table 1).

Current status

The Technical Committee now has 20 countries that are voting “P” (Participating) members (see Table 2) and 21 countries that are “O” (Observing) members (see Table 3). There are also six “L” (Liaison) members consisting of four ISO Technical Committees, one CEN Technical Committee and the International Confederation of Contamination Control Societies (ICCCS), as shown in Table 4. The Technical Committee has convened nine working groups (WGs) over the years and currently has four active ones. They are WG 1, Air cleanliness; WG 6, Terms and definitions; WG 8, Chemical contamination; and WG 9, Clean surfaces.

The Technical Committee has a new chairman, David Brande, who succeeded Richard Matthews. Matthews had served as chairman for 12 years (1993-2005).

Reports

WG 1, Air cleanliness
ISO 14644-1:1999>-This document, Cleanrooms and associated controlled environments-Part 1: Classification of air cleanliness, is currently going through revision by the WG. The standard was reviewed and voted on in 2004 by the technical committee and was reaffirmed as a standard. However, at the 16th technical committee meeting in 2004 in Cologne, it was resolved to have ISO/TC 209 Working Group 1 review the document for areas within the document that might be changed and report back to the committee. A decision was made by ISO/TC 209 at the 17th technical committee meeting in 2005 in Moscow to revise Part 1. Working on the revision, WG 1 has held three meetings since the Moscow meeting.

The main issues are as follows:

  • The table for air cleanliness classifications in the normative section would become the primary method for determining air cleanliness classes and the formula would be used for intermediate classes, similar to the cancelled FED-STD-209E.
  • Also in the normative section, the working group recommends dropping the single-digit concentration numbers from the air cleanliness classification table and providing further guidance on very low (i.e., two-digit) particle concentrations. The current table suggests unreliable limits that demand very large sample volumes.
  • The graph in Informative Annex A may be improved to make it more useful.
  • The WG has discussed the method for determining the number of testing locations as discussed in Informative Annex B and at this time is inclined to leave the method unaltered unless changes in the statistical analyses would dictate otherwise.
  • A problem has been identified with the current statistical approach regarding collection and evaluation of particle count data in Informative Annexes B and C. The statistics in the standard currently assume an even concentration of particles over the area being tested (e.g., cleanroom) and this may or may not be the case. The WG is evaluating a number of methods for showing statistical relevance to the number of locations required and the minimum number of samples at each location.
  • The WG is working on improving Informative Annex F to make it easer to use. The sequential sampling technique will be retained for situations where there are very low limits and very long sample times. However, it is felt that the annex needs to be made clearer.

Currently, there is only an internal working draft of the document. When a draft is ready to be reviewed by the technical committee, a New Work Item Proposal will be generated.

ISO 14644-2:2000-This document, Cleanrooms and associated controlled environments-Part 2: Specifications for testing and monitoring to prove continued compliance with ISO 14644-1, is being revised by the WG. The standard was reviewed and voted upon in 2005 by the technical committee. The vote determined that ISO/TC 209 Working Group 1 would review the standard. WG 1 has met three times to work on the revision.

The main issues are as follows:

  • The normative section in Part 2 is felt to be confusing regarding testing and monitoring. The WG wants to improve and clarify the explanation of the link between monitoring and testing.
  • A discussion was held by the WG to determine whether the document should differentiate between testing for qualification and testing for requalification of a cleanroom.
  • The WG plans to clarify the various testing frequencies.
  • The WG plans to eliminate the current Annex B on risk assessment. It is anticipated that the subject of risk assessment will be dealt with in the normative section.
  • A new Annex B may be written to give additional guidance on testing and monitoring plans.


Table 1: ISO Technical Committee 209 published documents
Click here to enlarge image

The convener of the WG reiterated that the group needs a consistent effort from the experts in order to move forward. The WG’s next meeting will be in March 2007 and the target date for publication is January 2009. However, one of the intermediate milestones has already slipped.

Working Group 6, Terms and definitions

ISO/FDIS 14644-6-This document, Cleanrooms and associated controlled environments-Part 6: Terms and definitions, was submitted by the secretariat on August 31, 2006, to the ISO central secretariat for FDIS vote. WG 6 sees this standard as a “living document” due to the continuing systematic review and revision of the ISO/TC 209 documents as well as the new terms and definitions being created by WG 9.


Table 2: "P"(Participating) members
Click here to enlarge image

During the DIS vote process, there were several terms that were questioned by some of the P member countries. The ISO/TC 209 secretariat worked with the ISO central secretariat editor to harmonize duplicate definitions.

There was some discussion as to the value of the document due to possible future revisions; WG 6 recommended that ISO 14644-6 be approved in order to establish a starting point from which the technical committee could move forward. The role of WG 6 will continue and the group plans to be very involved in checking each revision and new document to ensure consistency in the terms and definitions.


Table 3: "O" (Observer) members
Click here to enlarge image

The technical committee resolved that the role of WG 6 should continue after the vocabulary standard is published. The vocabulary standard could be revised before the systematic review period to reflect any revisions or new terms.

Working Group 8, Clean surfaces

ISO/FDIS 14644-8-This document, Cleanrooms and associated controlled environments-Part 8: Classification of airborne molecular contamination, was published as a standard on August 15, 2006.

A discussion ensued regarding the many overlapping areas between the work of WG 8 and WG 9, making it difficult for the groups to clarify their missions. It was suggested that WG 8 focus on surface chemical contamination and WG 9 focus on surface particulate contamination. To aid in defining the mission of WG 8, it was resolved that it be renamed “Chemical contamination” to enable the technical committee to allow WG 8 to expand the mission of the group to chemical surface contamination.

Working Group 9, Clean surfaces

ISO/WD 14644-9-WG 9 is preparing its Committee Draft, which is nearly complete. The technical committee resolved that when the secretariat receives the draft, it should initiate a New Work Item Proposal (NWIP).

The technical committee requested that WG 9 produce an outline of its thoughts on what should be addressed in a standard (or part of a standard) covering the subject of cleaning of surfaces, detailed such that the technical committee would be able to determine the direction in which it would want the WG to proceed.


Table 4: "L" (Liaison) members
Click here to enlarge image

WG 9 requested that member bodies nominate experts for the development of an additional or separate standard on cleaning, as the WG feels it is lacking sufficient numbers of cleaning experts. There was also a concern over conflicts with current documents, or documents that are in preparation, regarding process cleaning. In previous meetings the technical committee had elected to not become involved in process methods. It was suggested that the WG focus on what should be accomplished in cleaning-what should or should not be done.

Additional discussion on ISO/TC 209 documents

A request was brought forward by the U.K. delegation to begin the review process on ISO 14698-1:2003, Cleanrooms and associated controlled environments-Biocontamination control-Part 1: General principles and ISO 14698-2:2003, Cleanrooms and associated controlled environments-Biocontamination control-Part 2: Evaluation and interpretation of biocontamination data, prior to the normal five-year review.

Some members of WG 2 have suggested that they begin working on the two ISO 14698 documents now, rather than wait until the documents are due for systematic review in 2008. After some discussion, the technical committee decided that the ISO/TC 209 chairman should establish an ad hoc group comprising primarily microbiologists to review the ISO 14698 Part 1 and ISO 14698 Part 2 documents to determine which issues need to be addressed and to report its findings at the next ISO/TC 209 technical committee meeting.

During the WG 9 discussion, it was suggested that there be a new focus on surface biocontamination, as the standards are missing classifications for airborne and surface microbial contamination. It was felt that this topic was better suited for review by the working group that would be working on biocontamination rather than by WG 9. The technical committee had previously mandated that the group not address the area of microbiology, but if a system could be developed that would be beneficial, then the focus could include this area. The technical committee resolved to establish an ad hoc group, comprising primarily microbiologists, to determine the issues that should be addressed. The group will report its findings at the next technical committee meeting.

Epilogue

As can be seen, although the majority of the documents being produced by ISO Technical Committee 209 have been completed, the five-year review process has begun and some of the documents are being revisited. The committee has a number of issues that it is addressing and needs input from the countries’ Technical Advisory Groups (TAG). The TAGs will need input from the user community within their countries. If you have questions about, or want to offer input into, any of the ISO 14644 and ISO 14698 documents, contact your country’s TAG. In the U.S., the TAG is administered by the Institute of Environmental Sciences and Technology (IEST). Additional information may be found at www.iest.org

Postscript

The five-year review vote on ISO 14644-4:2001-Cleanrooms and associated controlled environments-Part 4: Design, construction and start-up, was tallied after the Beijing Technical Committee meeting. The consensus was to renew the document for another five-year period.

Robert Mielke is a principal metrology engineer at Abbott Laboratories (North Chicago, IL). He has been in Abbott’s Corporate Metrology Group for fourteen years. He holds a BS from Miami University of Ohio and is a Fellow of the Institute of Environmental Sciences and Technology (IEST). Mielke served the IEST as Standards and Practices Chairman for eight years, and as Contamination Control Technical Vice President from 1995 to 1997 and 1999 to 2001 respectively. He is the secretary of ISO/TC 209 and a U.S. delegate to ISO/TC 209 Working Group 1, which wrote ISO 14644-1 and ISO 14644-2. Mielke is the chair of IEST Working Group 006. He has presented more than 30 papers and given many tutorials on topics related to contamination control.


About IEST

Founded in 1953, IEST is an international technical society of engineers, scientists, and educators that serves its members and the industries they represent (simulating, testing, controlling, and teaching the environments of earth and space) through education and the development of recommended practices and standards. IEST is an ANSI-accredited standards-developing organization; Secretariat of ISO/TC 209, Cleanrooms and associated controlled environments; Administrator of the ANSI-accredited U.S. TAG to ISO/TC 209; and a founding member of the ANSI-accredited U.S. TAG to ISO/TC 229 Nanotechnologies.

To purchase or obtain more information about these standards and other related recommended practices and working groups, contact IEST at (847) 255-1561, e-mail them at [email protected], or go to the IEST Web site at www.iest.org.