LEDs

LEDS ARTICLES



Photonics integration coming

07/09/2018  Increasingly, the ability to stay on the path defined my Moore's Law will depend on advanced packaging and heterogeneous integration, including photonics integration.

SEMICON West 2018 highlights smart technologies, workforce development, industry growth

07/09/2018  Smart technologies take center stage tomorrow as SEMICON West, the flagship U.S. event for connecting the electronics manufacturing supply chain, opens for three days of insights into leading technologies and applications that will power future industry expansion.

Exciton limits are meant to be broken: OLED surpasses 100 percent exciton production efficiency

07/06/2018  Splitting energy using singlet fission opens a new route toward OLEDs with high-intensity emission in the near-infrared.

Toshiba announces new analog output IC photocoupler for automotive applications

07/05/2018  Toshiba Electronic Devices & Storage Corporation announces the launch of a new analog output IC photocoupler that enables high-speed communications in automotive applications – especially electric vehicles (EV) and hybrid electric vehicles (HEV).

High performance nitride semiconductor for environmentally friendly photovoltaics

07/03/2018  A Tokyo Institute of Technology research team has shown copper nitride acts as an n-type semiconductor, with p-type conduction provided by fluorine doping, utilizing a unique nitriding technique applicable for mass production and a computational search for appropriate doping elements, as well as atomically resolved microscopy and electronic structure analysis using synchrotron radiation.

Edmund Optics selects Veeco ion beam sputtering system

06/29/2018  Edmund Optics, a supplier of optical components, has ordered the SPECTOR Ion Beam Sputtering System from Veeco Instruments Inc.

The culprit of some GaN defects could be nitrogen

06/29/2018  Using molecular dynamics, researchers demonstrate the possible role of nitrogen as a major contributor to dislocation-related effects in gallium nitride-based devices.

Global LED market 2018-2022 to post a CAGR of 16%

06/26/2018  Technavio analysts forecast the global LED market to post a CAGR of more than 16% during the forecast period, according to their latest market research report.

WIN Semiconductors releases new platform integrating high performance 0.1um GaAs pHEMT with monolithic PIN and vertical Schottky diodes

06/13/2018  WIN Semiconductors Corp, the world's largest pure-play compound semiconductor foundry, has expanded its portfolio of highly integrated GaAs technologies with the release of a new pHEMT technology.

Aledia selects Veeco Propel GaN MOCVD platform for large wafer 3D LED production

06/13/2018  Veeco Instruments Inc. has selected Veeco’s Propel GaN MOCVD system to support advanced research and development.

Cree announces CFO transition plan

06/08/2018  Cree, Inc. today announced that Executive Vice President and Chief Financial Officer (CFO) Mike McDevitt will retire from his executive positions following a transition period.

Led by Texas Instruments, the industrial semiconductor market grew nearly 12% in 2017

06/06/2018  Analog Devices jumped to second in the market share ranking, after its acquisition of Linear Technology.

ON Semiconductor announces SiC diodes for demanding automotive applications

06/05/2018  Lower losses and higher switching deliver highly efficient, space-saving solutions and reduced overall system costs.

MIT researchers devise new way to make light interact with matter

06/04/2018  Reducing the wavelength of light could allow it to be absorbed or emitted by a semiconductor, study suggests.

Smartphone display with notch design estimated to cost about 20% more

05/31/2018  Notch design of smartphone displays is estimated to raise manufacturing cost of display panels by more than 20 percent.

OLEDs become brighter and more durable

05/29/2018  Layers made as ultrastable glasses improve device performance.

GLOBALFOUNDRIES enters volume production of ultra high voltage process technology

05/29/2018  GLOBALFOUNDRIES today announced that its 180nm Ultra High Voltage (180UHV) technology platform has entered volume production for a range of client applications, including AC-DC controllers for industrial power supplies, wireless charging, solid state and LED lighting, as well as AC adapters for consumer electronics and smartphones.

Lumentum orders Veeco MOCVD system

05/23/2018  Veeco Instruments Inc. announced that Lumentum Holdings Inc. has ordered the Veeco K475i™Arsenide/Phosphide (As/P) Metal Organic Chemical Vapor Deposition (MOCVD) System for production of its advanced semiconductor components.

Understanding light-induced electrical current in atomically thin nanomaterials

05/23/2018  Scientists demonstrated that scanning photocurrent microscopy could provide the optoelectronic information needed to improve the performance of devices for power generation, communications, data storage, and lighting.

Kulicke & Soffa further extends LED capabilities

05/22/2018  Kulicke and Soffa Industries, Inc. today announced it has entered into a licensing agreement with Idaho, US based Rohinni LLC (Rohinni), to facilitate the design, commercialization and distribution of next-generation micro and mini LED solutions.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts