Manufacturing

MANUFACTURING ARTICLES



The 2018 Symposia on VLSI Technology & Circuits covers converging trends in machine learning, artificial intelligence & the IoT

04/09/2018  The 2018 Symposia on VLSI Technology & Circuits will deliver a unique perspective into the technological ecosystem of converging industry trends – machine learning, IoT, artificial intelligence, wearable/implantable biomedical applications, big data, and cloud computing – the emerging technologies needed for 'smart living.'

U.S. trade tensions with China hit fever pitch

03/21/2018  Stiff tariffs and the dismantling of longstanding trade agreements – cornerstones of these new actions – will ripple through the semiconductor industry with particularly damaging effect.

GLOBALFOUNDRIES launches RF ecosystem program to accelerate time-to-market for wireless connectivity, radar and 5G applications

03/20/2018  GLOBALFOUNDRIES today announced a new ecosystem partner program, called RFWave, designed to simplify RF design and help customers reduce time-to-market for a new era of wireless devices and networks.

Imec honors Qualcomm founder Irwin M. Jacobs with Lifetime of Innovation Award

03/14/2018  Imec, a research and innovation hub in nanoelectronics and digital technologies, today presented its annual Lifetime of Innovation Award to Dr. Irwin Jacobs, Founding Chairman and CEO Emeritus of Qualcomm.

EV Group and IBM sign license agreement on laser debonding technology

03/14/2018  IBM's Hybrid Laser Release Process technology complements EV Group's low-temperature laser debonding equipment and process portfolio designed to enable highly flexible, high-throughput solution.

Researchers develop spectroscopic thermometer for nanomaterials

03/13/2018  A scientific team led by the Department of Energy's Oak Ridge National Laboratory has found a new way to take the local temperature of a material from an area about a billionth of a meter wide, or approximately 100,000 times thinner than a human hair.

Micron appoints Raj Talluri as senior vice president and general manager of Mobile Business Unit

03/13/2018  Micron Technology Inc. announced today that the company has appointed Raj Talluri as senior vice president and general manager of the Mobile Business Unit.

Flat gallium joins roster of new 2-D materials

03/12/2018  Scientists at Rice University and the Indian Institute of Science, Bangalore, have discovered a method to make atomically flat gallium that shows promise for nanoscale electronics.

New approach to measuring stickiness could aid micro-device design

03/08/2018  Brown University engineers have devised a new method of measuring the stickiness of micro-scale surfaces. The technique, described in Proceedings of the Royal Society A, could be useful in designing and building micro-electro-mechanical systems (MEMS), devices with microscopic moving parts.

Executive viewpoints: 2018 outlook

03/05/2018  Each year, Solid State Technology turns to industry leaders to hear viewpoints on the technological and economic outlook for the upcoming year. Read through these expert opinions on what to expect in 2018.

Work to do to keep the good times rolling

03/02/2018  2017 was a terrific year for SEMI members. Chip revenues closed at nearly $440B, an impressive 22 percent year- over-year growth.

A marriage of light-manipulation technologies

03/01/2018  Researchers have, for the first time, integrated two technologies widely used in applications such as optical communications, bio-imaging and Light Detection and Ranging (LIDAR) systems that scan the surroundings of self-driving cars and trucks.

Supermicro expands its Silicon Valley corporate headquarters

02/23/2018  Super Micro Computer, Inc. today announced that it has expanded its Silicon Valley Headquarters to over two million square feet of facilities with the grand opening of its new Building 22.

SEMI showcases achievements in flexible hybrid electronics, MEMS and sensors at 2018FLEX and MSTC conferences

02/22/2018  Technology trail-blazers explore business insights, new technologies, integration strategies at co-located events, held February 12-15, 2018 in Monterey, CA.

Entering 2018 on solid ground

02/22/2018  2017 finished on an upturn – both in the USA and globally. Based on consolidated fourth-quarter actual and estimated revenues of 213 large, global electronic manufactures, sales rose in excess of 7 percent in 4Q’17 vs. 4Q’16.

Frank Shemansky to lead SEMI's MEMS & Sensors Industry Group

02/13/2018  SEMI today announced the appointment of Frank A. Shemansky, Jr., Ph.D., as executive director and chief technology officer (CTO) of the MEMS & Sensors Industry Group (SEMI-MSIG).

Leti Chief Scientist Barbara De Salvo to deliver opening day talk on brain-inspired technologies at ISSCC 2018

02/08/2018  Delivering a keynote talk during the Feb. 12 plenary session that formally opens the conference, De Salvo will note that the architecture will include human-brain inspired hardware coupled to new computing paradigms and algorithms that "will allow for distributed intelligence over the whole IoT network, all-the-way down to ultralow-power end-devices."

A billion devices from SiTime empower self-driving cars, mobile phones, and everything in between

02/07/2018  SiTime Corporation, a developer of MEMS timing devices, announced today that it has shipped cumulatively over 1 billion timing devices.

MACOM and STMicroelectronics to bring GaN on silicon to mainstream RF markets and applications

02/07/2018  MACOM Technology Solutions Holdings, Inc. and STMicroelectronics announced an agreement to develop GaN (Gallium Nitride) on Silicon wafers to be manufactured by ST for MACOM's use across an array of RF applications.

Tiny but mighty: smallest amplifiers deliver high performance for challenging system designs

02/06/2018  Texas Instruments (TI) today introduced the industry's smallest operational amplifier (op amp) and low-power comparators at 0.64 mm2.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts