MEMS

MEMS ARTICLES



Pinnacle Imaging Systems and ON Semiconductor collaborate on new HDR surveillance solution using Xilinx technology

11/07/2018  Pinnacle Imaging Systems and ON Semiconductor today jointly announced a new lower cost HDR video surveillance solution capable of capturing high contrast scenes (120 dB) with 1080p and 30 frames per second (fps) output.

FlexTech Taiwan Committee launched to advance flexible hybrid electronics

11/06/2018  SEMI Taiwan today announced the formation of the FlexTech Taiwan Steering Committee.

SkyWater appoints Tom Legere as Senior Vice President of Operations

11/06/2018  SkyWater Technology Foundry today announced that Tom Legere has been appointed as Senior Vice President of Operations.

New SMART Transportation Summit unveiled at SEMICON Japan 2018

11/06/2018  With electronic content in automobiles seeing rapid global growth, automotive and semiconductor industry leaders will convene for the first SMART Transportation Summit at SEMICON Japan 2018 to connect, collaborate and innovate the new generation of automobiles.

BISTel partners with Siemens to deliver MindSphere applications for advanced data analytics and predictive maintenance

11/05/2018  BISTeL, a provider of adaptive intelligent (AI) applications for smart manufacturing today announced that it has joined the MindSphere Partner Program, Siemens’ partner program for Industrial IoT solution and technology providers.

SEMI wins award for Nano-Bio Materials Consortium to grow digital health industry

11/05/2018  SEMI announced today that it has signed a new agreement with the U.S. Air Force Research Laboratory (AFRL) to expand the Nano-Bio Materials Consortium's (NBMC) work in advancing human monitoring technology innovations for telemedicine and digital health.

Innodisk bringing the next-generation NAND flash to the industrial embedded market

10/31/2018  Innodisk is launching its industrial-grade 3D NAND SSD series, making the newest NAND flash technology available for the challenging requirements of embedded and industrial applications.

Tests show integrated quantum chip operations possible

10/31/2018  For the first time, an Australian team has combined 2 fundamental quantum techniques on an integrated silicon platform, confirming the promise silicon -- the basis of all modern computer chips -- for quantum computing.

Innodisk enters alliance with subsidiaries and partners to bring AIoT into the spotlight

10/30/2018  Innodisk, along with partners and its four subsidiaries, are expanding into to the sectors where IoT intersects with AI to reach untapped potential.

Global semiconductor sales in September up 13.8% year-to-year

10/29/2018  Q3 sales are highest on record, 4.1 percent more than previous quarter, 13.8 percent higher than Q3 of last year.

New chip measures multiple cellular responses to speed drug discovery

10/29/2018  Researchers from the Georgia Institute of Technology have designed a cellular interfacing array using low-cost electronics that measures multiple cellular properties and responses in real time.

Nanotubes may give the world better batteries

10/26/2018  Rice U. scientists' method quenches lithium metal dendrites in batteries that charge faster, last longer.

Highly efficient wet-processed solar cells with molecules in the same orientation

10/26/2018  Researchers at Kanazawa University report in the journal Organic Electronics documents a new method for controlling the orientation of conducting molecules in organic solar cells that results in the enhanced light adsorption and performance of the cells.

Speeding MEMS innovation and production

10/26/2018  SEMI’s Nishita Rao spoke with Ron Polcawich about the MEMS workshop on rapid innovation that he held earlier this year and his interest in continuing that conversation with a broad audience of MEMS and sensors suppliers attending MEMS & Sensors Executive Congress.

Sensera further expands fabrication capabilities with dicing, wafer bonding and electroplating technologies

10/25/2018  c. announced it has acquired and qualified additional thin-film processing equipment including a dicing saw, a wafer bonder and an electroplating cell to meet the growing customer demand in this segment.

Spinning the light: The world's smallest optical gyroscope

10/25/2018  Caltech engineers create an optical gyroscope smaller than a grain of rice.

Optoelectronics, sensors/actuators, discretes climb again

10/25/2018  In 2018, a mixed bag of higher prices, shortages, and new imaging applications is driving up total O-S-D sales by 11% and expected to set a ninth consecutive record-high level of combined revenues.

Inexpensive chip-based device may transform spectrometry

10/23/2018  Tiny device could replace expensive lab-scale equipment for many applications.

Leti and Taiwanese National Applied Research Laboratories team up to strengthen microelectronics innovation in France and Taiwan

10/23/2018  Two leading French and Taiwanese research institutes today announced their new collaboration to facilitate a scientific and technological exchange between France and Taiwan.

Autonomy value: The next value for sensors suppliers

10/23/2018  Nicolas Sauvage, senior director of Ecosystem at TDK InvenSense, will present at the fast-approaching MEMS & Sensors Executive Congress on October 29-30, 2018 in Napa, Calif. SEMI’s Nishita Rao spoke with Sauvage to offer MSEC attendees advance insights on Sauvage’s feature presentation.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts