Update: Significant Progress on EUV

By Jeff Dorsch

What a difference a year makes!

Twelve months ago, the industry handwringing over the slow progress of extreme-ultraviolet lithography was widespread. Discussions of the attributes (and limitations) of directed self-assembly and double-patterning lithography were common.

Today, extreme-ultraviolet lithography isn’t completely out of the lab and into the fab yet, but the good news is that it’s on the way.

The turning point, it seems, was the SPIE Advanced Lithography conference in late February, where Cymer reported significant progress in improving the wattage of its laser power source for EUV systems.

“In addition to the good news of EUV source power achievements, there were first signs of considerations for EUV adoption in high volume, coming from infrastructure development such as EUV mask actinic inspection, EUV mask OPC, and EUV lithography integration in a full CMOS flow with yield-defectivity investigations,” Mircea Dusa of ASML US said in an SPIE statement.

ASML’s current TWINSCAN NXT 1950i lithography tool for HVMSince then, ASML Holding has completed its $3.7 billion acquisition of Cymer, its long-time partner in EUV source development. Ryan Young, senior manager of communications at ASML, says that the Cymer laser source has been bumped up to 60 watts in the past four months, with a prospective throughput of 39 wafers per hour for the accompanying EUV system. “That is a marked improvement over the last year,” he comments.

The system has been able to produce linewidth spaces of 13 nanometers and holes of 17nm, with resolution down to 9nm, according to Young. “Overlay and imaging are performing ahead of specs,” he says.

The remaining measure of progress, Young adds, is productivity.

ASML had multiple reasons to acquire Cymer, Young notes. “ASML and Cymer collaborated very closely for many years,” he says. The laser serves as “a sparkplug” for the EUV system, turning tin droplets into a plasma, he notes.

“It comes down to they’re a separate company,” Young says of the status between the companies before the acquisition agreement was announced in October of last year. “They have a fiduciary duty to their shareholders.” ASML and Cymer had to operate at arm’s length for a variety of reasons to protect their proprietary interests.

“Acquiring them reduced these barriers,” Young comments. “We took a perfectly good relationship to a seamless one.”

For years, developing lithography systems could be the province of one leading technologist at an equipment vendor, with little or no help from outside the company. That approach worked in the step-and-repeat and step-and-scan era of lithography, according to Young.

The increasing complexity of advanced lithography has called for a team approach to system design and manufacturing, he adds. “That’s what it’s going to take to get this done,” he says of EUV development.

ASML has no plans for a big announcement at SEMICON West, “nothing earth-shatteringly new,” Young says. “We will share data.” The company is aiming to achieve throughput of 70 wafers per hour in the second half of 2013, he adds.

“This is really, really hard stuff,” Young concludes. “Progress is being made. We expect that to continue.”

Skip Miller, ASML’s director of strategic marketing, is scheduled to be one of the speakers in a TechXPOT South session at 10:30 a.m. on Wednesday, July 10. The program summary for “Still a Tale of Two Paths: Multi-patterning Lithography at 20nm and Below: EUVL Source and Infrastructure Progress” says, “Though progress to take EUVL into the realm of high-volume manufacturing continues to be made, the readiness of the source technologies to take on HVM are still not known with a high degree of certainty. The challenges facing source development are still average power, dose stability and uptime. EUV mask and resist infrastructure readiness activities must also come together in time and address such challenges as defect density (for masks), and line edge roughness, sensitivity, and resolution for resists. No doubt, there will be multiple opportunities to insert EUVL into lower volume production lines — such opportunities will be based on specific products and device applications. Until EUVL is ready for HVM, the industry must continue to rely on double-patterning and even multiple-patterning lithography schemes using 193 immersion lithography to take it beyond 22nm. Speakers will present the current status of EUVL readiness, as well as discuss the current plans and challenges of extending 193i with double and multiple-patterning.”

Earlier on Wednesday morning, 8 a.m. at the San Francisco Marriott Marquis, SEMI and SOKUDO will present the Lithography Breakfast Forum, with speakers from ASML, Canon, Molecular Imprints, Nikon, SOKUDO and Ultratech.

Nikon Precision, the industry’s long-time lithography leader, has been concentrating on immersion and multi-patterning lithography equipment. The company is planning to have argon fluoride immersion system prototypes, capable of handling 450-millimeter wafers, in the 2015-16 timeframe.

Risto Puhakka, president of VLSIresearch, the market research firm, says “Progress is really good” on EUV technology. For ASML, “laser power has been very, very promising,” he adds. The company is “committing to 100 wafers per hour” by the end of 2014, Puhakka says. “They’re moving along really well.”

When it comes to serious EUV development, it’s “ASML and its customers – no one else,” Puhakka says. Those customers are principally Intel, Samsung Electronics and Taiwan Semiconductor Manufacturing, which each have made equity investments in ASML.

Lode Lauwers, vice president of business development for imec, says lithography is “one main challenge” for the contemporary semiconductor industry. “We are very much working on EUV,” he adds, along with double-patterning technology. “There are, at last, big improvements now with EUV,” he adds. When it comes to higher throughput, “new data points on the new generation will be coming in this year,” he says.

By late 2013 or early 2014, “we will know more about EUV,” Lauwers predicts.

KLA-Tencor’s chief marketing officer, Brian Trafas, says his company “plays an important role in the lithography industry because we are a world leader in reticle inspection.” For EUV, “you need a scanner with the right sources to get the throughput,” he adds. “We are working with the industry to inspect EUV masks.”

KLA-Tencor is conducting R&D on a EUV mask inspection system for high-volume manufacturing, according to Trafas.

Extreme-ultraviolet lithography has made impressive gains since SEMICON West in 2012. What can be accomplished in the next 12 months will be critical to the future of EUV.