EUV: Coming but Not Here Yet

By Jeff Dorsch

Extreme-ultraviolet lithography is making progress!

Well, check that. EUV technology is progressing, yet it remains uncertain when its insertion into volume production of semiconductors will occur.

ASML Holding doesn’t want to discuss publicly the wattage of its Cymer power sources for the NXE:3300 EUV scanner.  Ryan Young of ASML says there are two main elements to the company’s EUV tools – power and availability. With the latter, “we’re talking about wafers through the machine, which is what customers are interested in,” he says.

The 3300 is now capable of producing 100 wafers per day, and ASML is working to bring that up to 500 wafers a day, according to Young. ASML’s goal is to have the scanner turning out 70 wafers per hour by the end of 2014, with an eye toward a goal of 125 wafers per hour in 2015. “We’re continuing to drive productivity for our customers,” Young says.

Getting to 70 wph by the end of this year is a “significant improvement” for the 3300, he adds.

Whether EUV is inserted at the 10-nanometer process node or the 7nm node is “highly customer-dependent,” Young notes.

Kurt Ronse, program director of advanced lithography at imec, is less recalcitrant to talk about the 3300 power source’s wattage. He says the sources at ASML facilities and at customer installations in the field have achieved 40 watts to 60 watts of power output, and some have gotten up to 70 watts. “We are not at 250 watts yet,” Ronse says, the level widely believed to be necessary for chip production in volume.

For the 3300s in the field, “uptime has improved since SPIE,” the Advanced Lithography conference in late February, the imec executive says. At 40W-60W, “this has to increase,” Ronse notes, with 250W a possibility in 2015. “We are not there yet, but it is very encouraging,” he says.

Progress is also being made in the areas of reticles and resists, according to Ronse. For resists, there are “steady improvements from year to year,” he says. Still, “improvements are relatively slow,” he adds. Ronse says there are issues with line-edge roughness that are being addressed by resist manufacturers, university researchers, and small chemical companies.

Still, the power source is a major concern for lithography scientists, according to Ronse. Progress in that area is “always slower than people are predicting,” he says.

For now, the semiconductor industry is dealing with 193nm immersion lithography, with its double patterning and multiple patterning. Ronse calls immersion lithography “extremely expensive, extremely slow, and hard to justify economically.” The industry is now hoping for EUV’s insertion, with a return to one-pass patterning, at the 7nm process node, he says.

ASML’s Young notes that a full-size pellicle has been developed for EUV reticles, an important step forward. ASML has fully qualified and shipped six NXE-3300B systems to customers, and five more are in the process of integration, he says.

For all the attention paid to ASML’s EUV program, the company remains a significant supplier of deep-ultraviolet scanners. The company this week is touting its “Million Wafer Club” – the 350 DUV scanners in the field that achieved the output of 1 million wafers per year, Young notes. One new scanner in particular has processed 1.5 million wafers in 12 months, he says. That works out to about 4,000 wafers a day (one scanner pumped out 5,250 wafers in one day, or nearly 219 wafers per hour).

Young also touts ASML’s Brion Technologies division, which specializes in software complementing the company’s scanners in the areas of computational lithography, optical proximity correction, resolution enhancement technology, and source mask optimization. Brion’s software is “keeping the process as tight as possible,” Young says.

In conclusion, the word on extreme-ultraviolet lithography is pretty much the same as it was a year ago: It’s coming, but it’s not here yet.