Solid State Technology and SEMI Announce the 2014 “Best of West” Award Winner

Solid State Technology and SEMI, yesterday announced the recipient of the 2014 “Best of West” Award — Nikon Corporation — for its NSR-S630D Immersion Scanner.  The award recognizes important product and technology developments in the microelectronics supply chain. The Best of West finalists were selected based on their financial impact on the industry, engineering or scientific achievement, and/or societal impact.

Nikon has clearly demonstrated leadership with ArF immersion tools, particularly in the area of 450mm.

At SEMICON West this week, a collection of the first fully patterned 450mm wafers – using a Nikon immersion lithography tool — were on display at the newly merged SUNY CNSE/SUNYIT exhibit, booth 517, located in the Moscone Center’s South Hall.

best of the west

The Nikon immersion scanner will join existing 450mm infrastructure at the Albany NanoTech Complex in April of 2015 in accordance with the project timeline.  This critical milestone will enable G450C founding members and CNSE to perform 10nm and below, full wafer photolithography, while optimizing tool configuration and performance.

The Best of West award-winning NSR-S630D ArF Immersion Scanner leverages the well-known Streamlign platform, incorporating further developments in stage, optics, and autofocus technology to deliver unprecedented mix-and-match overlay and focus control with sustained stability to enable the 10/7 nm node.  The Nikon Corporation booth is in South Hall, Booth 1705.

The NSR-S630D incorporates newly designed optics that deliver multiple levels of active control, while The semiconductor industry is moving to development and high volume manufacturing of sub-10 nm generation process devices. Budgets are even tighter at these advanced nodes, making enhanced stability vital. The NSR-S630D leverages established immersion technology, while incorporating key innovations to deliver MMO capabilities below 2.5 nm and throughput greater than 250 wafers per hour, in addition to critical overlay and focus “sustained stability.”

The NSR-S630D leverages the Streamlign platform, incorporating further developments in stage, optics, and autofocus technology to deliver unprecedented performance with “sustained stability” to enable the 10/7 nm node. Additionally, the S630D provides world-class throughput ≥ 250 WPH, and is compatible with advanced software solutions that ensure peak manufacturing performance. Significant technical, infrastructure, and business-related issues continue for EUVL, with unclear cost benefits. A 300 mm process step and cost comparison for EUVL double patterning (DP) was 2x higher than ArF immersion multiple patterning, and EUV DP results were even less favorable under 450 mm conditions. From the overall cost perspective, new technologies are not always the best approach, and based on 10 years of success, it is believed that 193i immersion will remain the low cost solution moving forward. Multipoint High Speed phase measurement interferometry enables adjustment of the lens at intervals to reduce aberrations. These enhanced tuning capabilities enable extremely low wavefront rms. Beyond imaging, overlay and focus control are the critical performance factors for the 10/7 nm node.

Single nanometer distortion values have been achieved, which is a major factor in improving overlay/mix-and-match capabilities. In addition, the new NSR-S630D reticle stage uses an encoder servo system to increase accuracy while the wafer stage delivers improved temperature control, coupled with structural and water management innovations to enhance stability. The S630D has demonstrated single machine overlay (SMO) Avg.+3σ below 1.4 nm across the lot, with across lot S622D/S630D mix-and-match overlay (MMO) below 2.5 nm (Figure 2A). Further, the S630D autofocus system employs a narrower sensor pitch and improved edge mapping for better focus uniformity, and minimizes sensor fluctuations and process sensitivities. Together these factors optimize yield and increase edge dies per wafer.

Autofocus performance was verified with uniformity data (3σ) below 9 nm (including edge shots) and 5.9 nm for full field shots alone. Intrinsic CD uniformity results below 0.69 nm were also demonstrated for 41 nm lines on a 90 nm pitch.

At the most advanced nodes, tool stability and process robustness become increasingly critical. Additional calibrations help with this, but they must not compromise productivity. Therefore, long-term inherent tool stability and process robustness must be maintained. The S630D has demonstrated five lot SMO data below 1.7 nm (Avg. + 3σ) across a ten-day period (Figure 3A), and SMO performance (Avg. + 3σ) below 1.4 nm across the lot for both hydrophobic and hydrophilic processes. Additionally, a two week focus stability range of only 5.3 nm max/min was achieved.

Nikon provides a number of “Masters” – automated software solutions that ensure the scanner is performing at its best. These include LNS (lens) Master, OPE Master, CDU Master, and OVL (overlay) Master. LNS Master enables reticle-specific thermal compensation on the scanner. OPE Master uses customer designs and scanner adjustments to provide illumination condition matching for aligning performance across a fleet of scanners and ensuring that one OPC solution works on all of them. CDU Master provides optimization capabilities that enable the scanner to correct for other process window detractors. Because overlay matching plays a central role in multiple patterning applications, OVL Master enables automated grid and distortion matching, as well as automated reticle expansion correction to maximize yield. The NSR-S630D works in tandem with the Masters software to deliver optimized scanner exposure parameters that enhance performance on product wafers. In addition to maximized yield and manufacturing flexibility, enhanced productivity is imperative in making these advanced multiple patterning processes cost effective for chipmakers, and the S630D delivers world-class throughput ≥ 250 wafers per hour (WPH).

Standards Industry Leaders Honored at SEMICON West 2014

SEMI honored eight industry leaders for their outstanding accomplishments in developing standards for the microelectronics and related industries. The annual SEMI Standards awards were announced at the SEMI Standards reception held during SEMICON West 2014.

SEMI International Standards Excellence Award

The 2014 SEMI International Standards Excellence Award, inspired by Karel Urbanek,is the most prestigious award in the SEMI Standards Program. Yesterday, it was awarded to David Bouldin of Fab Consulting. As co-chair of the North America Metrics Technical Committee Chapter since 1996, Bouldin has participated in the improvement and development of several key equipment maintenance standards and multiple Cost-of-Ownership (COO) metrics.

Under Bouldin’s leadership, one of the most used and valued Standards, SEMI E10Specification for Definition and Measurement of Equipment Reliability, Availability, and Maintainability (RAM) and Utilization, has undergone significant revisions to provide a set of unified RAM metrics for the latest equipment and control architectures.  He co-led the Equipment COO Task Force to successful revisions of E35Guide to Calculate COO Metrics for Semiconductor Manufacturing Equipment and E140Guide to Calculate COO Metrics for Gas Delivery Systems and is active in several other Metrics task forces.

Bouldin’s technical editing skills and deep knowledge of semiconductor manufacturing have contributed to his effectiveness.  His leadership and technical skills helped move SEMI Standards forward.  Bouldin has more than 40 years of experience in the semiconductor industry, the majority of the time at Texas Instruments where he was a global engineering project manager. In 2007, he established Fab Consulting.

In addition to the 2014 SEMI International Standards Excellence Award, the recipients of four major North American SEMI Standards awards were also announced:

The Merit Award

The Merit Award recognizes Standards Program Member major contributions to the semiconductor, PV, and related industries through the SEMI Standards Program.  Award winners typically take on a very complex problem at the task force level, gain industry support, and drive the project to completion. This year, three Program Members were presented with the Merit Award.

Previous research demonstrated that opportunities exist to reduce utility consumption for production equipment while wafers are not being processed.  While production equipment is capable of reduced utility consumption, implementation has been slow due to a lack of a standard.  Through the Energy Saving Equipment Communication Task ForceDaniel Chlus (IBM)Mike Czerniak (Edwards), and Lance Rist (RistTex) led the development of SEMI E167-1213Specification for Equipment Energy Saving Mode Communications (EESM) and E167.1Specification for SECS-II Protocol for EESM Communications. The purpose of these specifications is to reduce energy consumption in production equipment

Rist was also instrumental in the development of SEMI E168Specification for Product Time Measurement and E168.1Specification for Product Time Measurement in GEM 300 Production Equipment. Developed under the Wait Time Waste Metrics and Methods Task Force, these specifications provide the semiconductor industry with support in the identification and elimination of product time waste in the product life cycle within the factory.

The Leadership Award

The Leadership Award recognizes Program Members’ outstanding leadership in guiding the SEMI Standards Program. Since 2009, Matt Fuller (Entegris) has chaired the NA Physical Interfaces & Carriers (PIC) Technical Committee Chapter and has been instrumental in the development of wafer carrier standards for 450mm wafers including SEMI E158Mechanical Specification for Fab Wafer Carrier Used to Transport and Store 450mm Wafers (450 FOUP) and Kinematic Coupling. Prior to his committee chairmanship, Fuller was actively involved in various PIC task forces for several years including the International 450mm Physical Interfaces & Carriers (“450 IPIC”) Task Force. He has dedicated countless hours addressing industry needs with other PIC committee members, overseeing activities, and actively leading the committee. A great supporter of SEMI Standards and an enthusiastic leader, many colleagues have sought his guidance and assistance.

The Honor Award

Given to an individual who has demonstrated long-standing dedication to the advancement of SEMI Standards, Lori Nye (Brewer Science) was recognized for her valuable contributions and continued dedication to the Standards Program. For over 20 years, Nye has served in various leadership positions, critical to the advancement of the SEMI Standards process. Today, Lori chairs the North America PV Materials Technical Committee Chapter, which is responsible for developing several SEMI PV Standards. She is also a member of the Audits & Reviews (A&R) Subcommittee to the International Standards Committee (ISC) which performs an audit function on adjudication or approval procedures taken by chapters. Finally, Nye is also a Member-at-Large in the ISC.

The Corporate Device Member Award

The Corporate Device Member Award recognizes the participation of the user community and is presented to individuals from device manufacturers. This year, two Program Members were presented with the Corporate Device Member Award for their contributions to the Silicon Wafer standardization efforts — Pinyen Lin (G450C) and Kwangwook Lee (G450C).  As an active member of the International Advanced Wafer Geometry Task Force, Lee was instrumental in the development of SEMI Draft Document 5654,  which aims to increase the fixed quality area (FQA) for 450mm wafer, minimizing the edge exclusion from 2mm to 1.5mm for yield improvement.  As a key member of the International 450mm Wafer Task Force, Lin played a critical role in the development of SEMI Draft Document 5604 which proposes significant revisions to the SEMI M1:Specification for Polished Single Crystal Silicon Wafer and M20Practice for Establishing a Wafer Coordinate System standards to implement the 450mm notchless wafer.

Both Lin and Lee organized and managed a group of industry technologists including IC makers, tool suppliers, and sensor manufacturers. They led collaboration efforts in the standards development process where their dedicated commitment, broad processing knowledge, and tenacity were critical to the rapid development of the document and efficient progress of the committee.

The SEMI Standards Program, established in 1973, covers microelectronics process equipment and materials, from wafer manufacturing to test, assembly and packaging, in addition to the manufacture of photovoltaics, flat panel displays and micro-electromechanical systems (MEMS). Over 4,500 volunteers worldwide participate in the Program, which is made up of 21 global technical committees. Visit www.semi.org/standards  for more information about SEMI Standards.

The Future Looks Incredible, But Not If We Stay The Same

By Shannon Davis, Web Editor, Solid State Technology

Micron President Mark Adams’ keynote on Tuesday morning at SEMICON West 2014 was both optimistic and challenging, perhaps even unsettling for companies unused to evolving with the times.

“This is a great time for technology! And it’s just beginning…the pace of innovation is accelerating; we haven’t reached our peak,” said Mr. Adams. “The role of computing, automation, intelligence, storage is going to just grow and grow.”

But this boom in growth is not without its unique and significant challenges, and those not preparing for the change now may not be here to change later, he warned.

“For companies thinking everything’s going to stay the same, they’re not going to make it. You have to be able to adapt,” Mr. Adams challenged his audience. “We need to adapt to what’s in front of us. There are new markets and new applications. How we design our products and run our factories has to change, too.”

This call to evolve wasn’t reserved solely for the semiconductor makers, either. Mr. Adams pointed to the equipment suppliers as well, who he said would also need to work closer with their customers to learn how to meet their needs, relying less on the roadmap and more on real engagement with their partners.

“The key is the partnership aspect – running a semiconductor company is getting tougher,” said Mr. Adams. “We need partnerships. Semiconductor companies need equipment companies more than ever.”

But given the dynamics that are going on in the market currently, a successful partnership is different, he said. The partnerships of today, he explained, would need to be more solutions-oriented and less cost-focused.

Mr. Adams proposed engaging customers to understand market requirements and solve customer problems – a challenging proposal, given the now-diverse markets the semiconductor industry serves.

“For those companies who are structured, investing and partnering to understand how to best serve these markets, these are the winners,” said Mr. Adams. “We need to enable their solutions. We need to move up the value chain and add value to the products we’re selling.”

Mr. Adams concluded by outlining five factors that could make or break evolving semiconductor partnering companies: their ability to engage in safety analysis, their speed to market and cycle times, the quality of the products they deliver, their time to mature yield, and their ability to provide cost-effective options for their services.

“There is a huge opportunity in front of us,” he concluded. “We can only capitalize on it if we partner together more closely than we ever have before.”

Photo provided by SEMI

Photo provided by SEMI

FinFETs and FDSOI Provide Options

By Jeff Dorsch

Everybody loves FinFETs!

Well, not everybody, really, is behind double-gate or multiple-gate field-effect transistors. There is a camp in the semiconductor industry making the case for the leading alternative, using fully-depleted silicon-on-insulator technology. On balance, however, most chipmakers are betting on the chips with the tiny “fins.”

There’s also some disagreement and fudging on what is and isn’t a FinFET process. It’s not a precisely defined term for many people. Intel, for instance, was a leader in implementing the architecture, but it doesn’t use the word “FinFET,” preferring to describe its architecture as a “3-D Tri-Gate transistor.”

Intel started using the Tri-Gate architecture at the 22-nanometer process node and now is employing it at 14nm.

Taiwan Semiconductor Manufacturing, the world’s largest pure-play silicon foundry, is using FinFETs at the 16nm process node. The foundry has introduced its 16nm FF+ process, a second-generation FinFET technology.

“Most of the major manufacturers are doing FinFETs,” says Aaron Thean, vice president of process technology and director of logic development at imec. “Everyone is transitioning to FinFETs. The industry is moving in that direction.”

Brian Trafas, chief marketing officer of KLA-Tencor, says, “Most companies chose FinFET.” With his company’s emphasis on process control and yield management, KLA-Tencor knows about some of the struggles in implementing FinFET architectures. There is some residual contamination with the etching and cleaning process steps, according to Trafas. This often takes the form of “very small residue on the sidewall of fins,” he says.

What about fully-depleted silicon-on-insulator? “FD-SOI can help with some of the scaling,” Trafas says. It is, however, what he describes as “a niche area.”

STMicroelectronics has been the industry champion of FD-SOI technology, and that’s been a lonely position, for the most part, although it continues to collaborate with CEA-Leti and Soitec on implementing the technology. GlobalFoundries committed to using ST’s FD-SOI tech for 28nm and 20nm production in 2012, and expects to put it into volume production by the end of this year, for 28nm and 14nm processes.

In May, STMicroelectronics announced that Samsung Electronics would use ST’s 28nm FD-SOI tech for foundry customers. Samsung plans to offer the process in early 2015.

“The agreement [for 28nm FD-SOI] confirms and strengthens further the business momentum that we have experienced on this technology during the past quarters through many customers and project engagements in our embedded processing solutions segment,” ST Chief Operating Officer Jean-Marc Chery said in a press statement. “We foresee further expansion of the 28nm FD-SOI ecosystem, to include the leading EDA and IP suppliers, which will enrich the IP catalog available for 28nm FD-SOI.”

Meanwhile, process technologists are planning for FinFETs at 10nm, 7nm, and 5nm. Beyond that, it’s anyone’s guess what architecture will prevail.

EUV: Coming but Not Here Yet

By Jeff Dorsch

Extreme-ultraviolet lithography is making progress!

Well, check that. EUV technology is progressing, yet it remains uncertain when its insertion into volume production of semiconductors will occur.

ASML Holding doesn’t want to discuss publicly the wattage of its Cymer power sources for the NXE:3300 EUV scanner.  Ryan Young of ASML says there are two main elements to the company’s EUV tools – power and availability. With the latter, “we’re talking about wafers through the machine, which is what customers are interested in,” he says.

The 3300 is now capable of producing 100 wafers per day, and ASML is working to bring that up to 500 wafers a day, according to Young. ASML’s goal is to have the scanner turning out 70 wafers per hour by the end of 2014, with an eye toward a goal of 125 wafers per hour in 2015. “We’re continuing to drive productivity for our customers,” Young says.

Getting to 70 wph by the end of this year is a “significant improvement” for the 3300, he adds.

Whether EUV is inserted at the 10-nanometer process node or the 7nm node is “highly customer-dependent,” Young notes.

Kurt Ronse, program director of advanced lithography at imec, is less recalcitrant to talk about the 3300 power source’s wattage. He says the sources at ASML facilities and at customer installations in the field have achieved 40 watts to 60 watts of power output, and some have gotten up to 70 watts. “We are not at 250 watts yet,” Ronse says, the level widely believed to be necessary for chip production in volume.

For the 3300s in the field, “uptime has improved since SPIE,” the Advanced Lithography conference in late February, the imec executive says. At 40W-60W, “this has to increase,” Ronse notes, with 250W a possibility in 2015. “We are not there yet, but it is very encouraging,” he says.

Progress is also being made in the areas of reticles and resists, according to Ronse. For resists, there are “steady improvements from year to year,” he says. Still, “improvements are relatively slow,” he adds. Ronse says there are issues with line-edge roughness that are being addressed by resist manufacturers, university researchers, and small chemical companies.

Still, the power source is a major concern for lithography scientists, according to Ronse. Progress in that area is “always slower than people are predicting,” he says.

For now, the semiconductor industry is dealing with 193nm immersion lithography, with its double patterning and multiple patterning. Ronse calls immersion lithography “extremely expensive, extremely slow, and hard to justify economically.” The industry is now hoping for EUV’s insertion, with a return to one-pass patterning, at the 7nm process node, he says.

ASML’s Young notes that a full-size pellicle has been developed for EUV reticles, an important step forward. ASML has fully qualified and shipped six NXE-3300B systems to customers, and five more are in the process of integration, he says.

For all the attention paid to ASML’s EUV program, the company remains a significant supplier of deep-ultraviolet scanners. The company this week is touting its “Million Wafer Club” – the 350 DUV scanners in the field that achieved the output of 1 million wafers per year, Young notes. One new scanner in particular has processed 1.5 million wafers in 12 months, he says. That works out to about 4,000 wafers a day (one scanner pumped out 5,250 wafers in one day, or nearly 219 wafers per hour).

Young also touts ASML’s Brion Technologies division, which specializes in software complementing the company’s scanners in the areas of computational lithography, optical proximity correction, resolution enhancement technology, and source mask optimization. Brion’s software is “keeping the process as tight as possible,” Young says.

In conclusion, the word on extreme-ultraviolet lithography is pretty much the same as it was a year ago: It’s coming, but it’s not here yet.

3DICs Have Finally Arrived

By Jeff Dorsch

Intel, Samsung Electronics, and Taiwan Semiconductor Manufacturing have made their moves into three-dimensional semiconductors. Now it remains to be seen how the rest of the semiconductor industry will make the transition to 3DICs.

It’s not going to be another dimension shrink, by any means. As difficult as the transition to fabrication with 28-nanometer features was for many chipmakers (and remains so for many second-tier semiconductor manufacturers), that scaling shift will seem like child’s play as integrated device manufacturers and silicon foundries deal with silicon interposers, through-silicon vias, and other accoutrements of the 3D chip world.

Yole Développement estimates the value of semiconductors with TSVs in 3DIC and 3D wafer-level chip-scale packages – including ambient light sensors, CMOS image sensors, power amplifiers, and inertial and radio-frequency microelectromechanical system devices – was $2.7 billion in 2012. It forecasts that such chips will represent 9 percent of the semiconductor market in 2017, with nearly $40 billion in value.

Transparency Market Research has a more modest forecast for 3DICs. It estimates the value of 3D chips in 2012 was $2.4 billion and will rise at a compound annual growth rate of 18.1 percent over the next five years, hitting $7.52 billion in 2019.

“Customers like to scale their devices for greater performance or better battery life,” says Brian Trafas, chief marketing officer of KLA-Tencor. “They’re moving from 2D to 3D.”

“The logic leader” (generally known as Intel) made its move at the 22-nanometer process node, Trafas notes, while “the foundry leader” (that would be TSMC) migrated to 3D at 16nm, Trafas notes. In advanced 3D memory chips, “one leader is out front,” he says, announcing that its wafer fabrication facility in China is producing 3D NAND flash memories (that’s Samsung).

As the technology leaders enter the brave new world of 3D chips, “we do now see some spending for 14- and 16-nanometer by foundries,” Trafas says.

Making 3DICs calls for multiple patterning in photolithography and “more process steps,” the KLA-Tencor executive says, which is good for sales of process control equipment. “The logic leader” experienced yield issues when it started making 3D chips, and “we’re seeing the same thing with foundries,” Trafas says. “It’s very challenging.

“It’s somewhat like 28-nanometer. It’s typical of what you see at all new nodes,” he adds.

Despite the challenges in defects and yield with 3DICs, “it should be successful,” Trafas concludes.

At SEMICON West, 3DICs will be under discussion in several forums, including the TechXPOT programs in Moscone Center’s North and South halls.

Like it or not, 3DICs are here. Better brush up on those TSVs.

First 450mm Wafers Patterned with Immersion Lithography Displayed

A collection of the first fully patterned 450mm wafers are on display at SEMICON West this week at the newly merged SUNY CNSE/SUNYIT exhibit, booth 517, located in the Moscone Center’s South Hall. The wafers will be on display throughout the exhibition and showcased in the 450 mm Technology Development Session on Thursday July 10th.

Fully patterned 450mm wafers have been shown before, most notably those produced using Molecular Imprints’ Imprio nanoimprint lithography (NIL) tool. At SEMI’s ISS meeting in Jaunary 2013, Intel’s Bob Bruck famously held such a wafer before the crowd.

But the 450mm wafers on display this week were produced using Nikon’s 193 immersion scanner, making it the first of its kind using conventional lithography tools now in use for 300mm wafer production.

“These first 450mm wafers are tangible proof that the industry’s transition to this next generation technology is on track and gaining momentum,” said Paul Farrar, Jr., Vice President for Manufacturing Innovation of the newly merged SUNY CNSE/SUNYIT institution and General Manager of the G450C.

The Nikon immersion scanner will join existing 450mm infrastructure at the Albany NanoTech Complex in April of 2015 in accordance with the project timeline.  This critical milestone will enable G450C founding members and CNSE to perform 10nm and below, full wafer photolithography, while optimizing tool configuration and performance.

In July of 2013, New York’s Governor Cuomo announced a $350 million partnership between the newly merged CNSE/SUNYIT and Nikon to develop next generation 450mm photolithography technology. Nikon and the newly merged CNSE/SUNYIT brought about a first of its kind immersion lithography scanner online in less than 12 months, enabling the vital wafer exposures that will further advance the industry’s transition from the current 300mm wafer platform to the next generation 450mm wafer platform.  The wafers that presented at SEMICON West are the first produced in support of the G450C, a public-private partnership headquartered at the NanoTech complex in Albany, NY.

“Nikon is very pleased to have achieved this key milestone, and we are intent on beginning the next phase of this program, said Nikon Corporation Senior Vice President and Semiconductor Lithography Business Unit General Manager, Toshikazu Umatate. “450mm scanner development is progressing on target to deliver the performance and productivity innovations that will deliver reduced cost per die, which is essential for the continuation of Moore’s Law.”

To date, more than $350 million in 450mm wafer tools have been installed at the Albany NanoTech Complex.  With the arrival of the Nikon immersion photolithography tool, the investment will swell to over $700 million.

One the first fully patterned 450mm wafers produced using conventional 193 immersion lithography.

One the first fully patterned 450mm wafers produced using conventional 193 immersion lithography.

SEMI and Solid State Technology Announce the 2014 “Best of West” Award Finalists

Each year at SEMICON West, the largest and most influential microelectronics exposition in North America, the “Best of West” awards are presented by Solid State Technology and SEMI. The award was established to recognize contributors moving the industry forward with their technological developments in the microelectronics supply chain.

The 2014 Best of West Finalists are:

  • Microtronic: EAGLEview IV — EAGLEview IV is an automated macro defect wafer inspection system that provides industry leading throughput (3,000+ Wafers Per Day), defect detection accuracy, and wafer classification. EAGLEview IV resolves many of the problems of manual/micro wafer inspection by automating and standardizing wafer inspection. South Hall, Booth 729 (Category: Metrology and Test)
  • Nikon Corporation: NSR-S630D Immersion Scanner — The NSR-S630D ArF immersion scanner leverages the well-known Streamlign platform, incorporating further developments in stage, optics, and autofocus technology to deliver unprecedented mix-and-match overlay and focus control with sustained stability to enable the 10/7 nm node.  South Hall, Booth 1705 (Category: Wafer Processing Equipment)   
  • SPTS Technologies:  Rapier XE — Rapier XE is a new, 300mm, plasma etch module which can lower costs and increase yields for device manufacturers utilizing TSVs for 3D packaging.  Designed for via reveal applications, the new module offers blanket silicon etch rates typically 3-4x faster than competing systems. South Hall, Booth 1317 (Category: Wafer Processing Equipment)   

The Best of West Award winner will be announced during SEMICON West (www.semiconwest.org) on Wednesday, July 9, 2014.

Sunny prospects await photovoltaics in 2014

By Jeff Dorsch

The long night in solar energy may be coming to an end.

The comeback from the doldrums of 2011-13 could be told in the stock price of SolarCity, an installer of solar panels for business, government, and homes. Since falling to about $10 a share in early 2013, SCTY shares hit a peak of more than $88 in March. After tailing off this spring, SolarCity’s stock has rebounded in recent weeks, closing on July 1 at $71.22 a share.

Semiconductor Equipment and Materials International last month reported that global bookings for photovoltaic manufacturing equipment were $296 million for the first quarter of 2014, a 44 percent gain from a year earlier. Q1 worldwide billings were $240 million, down 6 percent from the first quarter of 2013, SEMI said, resulting in a quarterly book-to-bill ratio of 1.24 – the first time that ratio has been above parity in three years.

PV equipment makers had to struggle through 2013 before seeing business pick up this year. The book-to-bill ratio for the fourth quarter of last year was 0.61. For all of 2013, billings totaled $1.22 billion, off by 49 percent from 2012’s $2.40 billion, while bookings were $736 million, down 17 percent from the previous year’s $883 million, according to SEMI. Customers in Asia represented 78% of 2013 billings.

The Intersolar North America conference and exhibition will run this week in conjunction with SEMICON West. The conference is scheduled for July 7-9 at the InterContinental Hotel, while the exhibition is July 8-10 at Moscone West.

The U.S. solar market saw 4.2 gigawatts installed last year, and the forecast for 2014 is that American solar power capacity will grow by 5.2 gigawatts to 6.3 gigawatts, according to NPD SolarBuzz.

Belgium’s imec continues to work on thin-film solar cells and silicon-based solar cells. Most of the latter are p-type silicon, but there is a shift toward n-type silicon in solar cells now, according to Philip Pieters, imec’s business development director. These n-type silicon solar cells are capable of an energy-conversion efficiency rate of 21.5 percent, a figure certified by Germany’s Fraunhofer ISE-CalLab. Meco Equipment Engineers worked with imec on the nickel/copper plating for the front contacts on the solar cells, which were fabricated on square substrates measuring 156 millimeters by 156mm.

These processes could be “easily updated” for industry’s use in volume production of silicon-based solar cells, Pieters said.

The Intersolar North America conference will have multiple sessions on photovoltaics, energy storage, and solar heating and cooling on all three days, along with workshops and special events.

Sunny prospects await photovoltaics in 2014, it is clear.

What’s next for semiconductor packaging?

By Jeff Dorsch

With mobile devices continuing to shrink in size and wearable electronics emerging as a new market for semiconductors, advanced packaging technologies are taking on increasing importance in the global supply chain. Aside from the 3DIC package/system-in-package area, what is going on in semiconductor packaging these days?

Flip-chip and wafer-level packaging, among other types of chip-scale packaging (CSP), are progressing in technology development and industry adoption. They are not new technologies, but the multiple types of CSPs continue to proliferate.

Wafer-level chip-scale packaging (WLCSP) is being employed in the iPhone and other mobile devices. TechSearch International forecasts that the number of devices in wafer-level packages will more than double over seven years, reaching nearly 36.8 billion devices in 2017.

STATS ChipPAC, one the world’s largest contractors in IC assembly and testing services, recently introduced an encapsulated wafer-level chip-scale package, which it says can help prevent damage to the chip during the surface-mounting process.

“WLCSP is a bare-die package that is constantly exposed to potential cracking, chipping and handling damages before or during the SMT process. This is particularly true for advanced node products where the die is very thin and dielectric layers are extremely fragile,” Dr. Han Byung Joon, executive vice president and chief technology officer of STATS ChipPAC, said in a statement. “As mobile device manufacturers tighten their technical specifications to reach new levels of reliability in their products, the industry will see more stringent component level and board-level reliability (BLR) requirements. eWLCSPTM is a robust packaging solution that cost-effectively addresses the increased durability requirements for our customers in advanced silicon nodes down to 28nm.”

Amkor Technology, another large provider of chip assembly and test services, has seen the percentage of its revenue from advanced products (including flip chip, wafer-level processing and related test services) increase from 40.5 percent in 2011 to 49.1 percent in 2013. The company offers three options in WLCSPCSPnl bump on repassivation, CSPnl bump on redistribution, and CSPn3. These packages can have from four to 196 solder balls.

Advanced Semiconductor Engineering, another of the Big 4 in IC assembly and test services, offers a number of advanced packaging types, such as WLCSP, flip-chip CSP, flip-chip package-in-package, flip-chip ball grid array and its Advanced Single Sided Substrate, trademarked as aS 3. These types can accommodate from six to 2,916 leads.

In 2013, ASE saw its percentage of packaging revenue from advanced packaging increase to 26.7 percent from 2012’s 23.6 percent.

There are many other types of advanced packages, including ball grid arrays (BGAs), bump chip carriers (BCCs), and thin quad flat packages (TQFPs). BGAs have a host of variations, such as flip-chip BGAs and thin BGAs. (Packaging is a big bowl of alphabet soup with all its acronyms – that’s another article.) Intel has been using BGA packages since the days of the Pentium II microprocessors and the first Celeron mobile processors. It currently employs a “Micro-FCBGA” package for its mobile processors, containing 479 solder balls.

Land grid arrays, which Intel is making greater use of in the last five years, are basically a BGA without the solder balls.

Bump chip carriers are used in cell phones, digital cameras, wireless local-area networks, and other products.

Amkor touts its thin quad flat packs for application-specific integrated circuits, controllers, digital signal processors, field-programmable gate arrays, PC chipsets, processors, programmable logic devices, and static random-access memories. There are a wide variety of quad flat package types.

Dynamic random-access memories get their own advanced packaging, too. Fine-pitch BGAs are used for high-speed DRAMs.

Technical sessions on advanced packaging will be featured on the first two days of SEMICON West. Tuesday, July 8, will see “Mobility and More – The M&Ms of Cost Beneficial Advanced Packaging” in the morning and “Embracing What’s NEXT – Devices & Systems for Big Data, Cloud and IoT” in the afternoon. (You may have heard of the Internet of Things, or IoT, by now.) The afternoon of Wednesday, July 9, will have “Driving Automotive Innovation – The Enabling Role of Semiconductor and IC Packaging.”

As always, it should be another interesting year in advanced packaging!