CEA-Leti Announces Maskless Lithography IMAGINE Workshop at Keio Plaza Hotel in Tokyo on September 6, 2011

CEA-Leti, the applied research center for microelectronics and for information and healthcare technologies located at the MINATEC consortium in Grenoble, France, has announced that the IMAGINE Maskless Lithography Workshop will be held September 6, 2011 at the Keio Plaza Hotel in Tokyo, Japan.

The IMAGINE program, launched in July 2009, began as a collaboration between CEA-Leti and MAPPER Lithography B.V. to investigate maskless lithography techniques that would be needed to replace today’s optical lithography in semiconductor manufacturing. Launched with the delivery of MAPPER’s Massively Parallel Electron Beam Platform to Leti at that time, the program was developed to provide the world’s major chip manufacturers with opportunities to assess leading-edge maskless lithography technology in a manufacturing environment. IMAGINE also has the goal of developing and qualifying the necessary infrastructure to enable high-volume production use of maskless lithography technology.

The Workshop agenda includes a technical update on the program’s main achievements, updates on MAPPER’s technology roadmap, and discussions on lithography options for the 22nm and future manufacturing nodes.  

The IMAGINE program partners now include Mentor Graphics, SOKUDO, STMicroelectronics, TOK, and TSMC, all of whom have committed resources to the collaborative development of multiple e-beam advanced lithography technology.

Photo: Info-EconomiqueSpeaking at SEMICON West in July 2011, Leti CEO Laurent Malier noted the significant progress made at Leti during the last year. “Leti’s focus on semiconductor technologies, including design, chemistry, and characterization,” said Malier, “will have impacts on applications in many parts of the global economy.” The core Leti research work is on semiconductor manufacturing technologies, noted Malier, but the application of these leading-edge technologies will advance health care, energy management, and many other fields. Click here to view M. Malier’s SEMICON West presentation.

To register for the workshop, contact Thomas Iljic: (+81) 03 57 98 63 39, or at [email protected].

For more information on Leti, please visit www.leti.fr

 

Cymer Reports Advancements in EUV Manufacturing Readiness

Presents on LPP Source System Development for HVM at SEMICON West 2011

One of the highlights at SEMICON West 2011 was the advanced lithography session that kicked off July 13’s TechXPOT. Headlining the session, Cymer’s David Brandt, senior director of EUV marketing, addressed the crowded audience with an industry update on the company’s latest development of laser-produced plasma (LPP) Extreme Ultraviolet (EUV) technology and source production for the semiconductor industry.

Overall, he said, the outlook remains positive with increased investment and steady traction since the last formal update from Cymer at SPIE 2011. Of note on the EUV source technology front, Cymer reports that two 3100 EUV systems are installed and operational at chipmakers and are realizing improvements in run time, system availability, and stability to enable sub-30nm lithography. The third chipmaker installation is currently in process.

Furthermore, Brandt announced that five of the eight first generation 3100 HVM I sources have shipped to customers and are fully operational. The other three sources are in use in San Diego for testing and validation of designs and upgrades. The Cymer engineering teams completed several long duration runs in order to evaluate EUV power, dose stability and collector protection.

There were comments throughout Brandt’s presentation that grabbed the crowd’s attention, but certainly a standout was when he confirmed the worldwide total EUV energy created by these sources. According to Brandt, “As a result of increased source availability and stability improvements, Cymer’s eight sources have cumulatively produced, since March 2011, approximately 40 megajoules (MJ), energy sufficient to expose nearly 5,000 wafers depending on dose sensitivity.” The takeaway? As productive time increases, Cymer generates more learning cycles.

To track worldwide source availability, Brandt discussed semi productivity parameters on the eight sources, indicating that overall the mean availability was approximately 50%. The other 50% is needed for maintenance primarily on two modules, which are actively being improved with the outlook of 80% achievable by end of the year. Cymer is rapidly ramping up its field support organization to support the HVM I implementation.

In terms of performance, Brandt explained that Cymer’s HVM I source in San Diego has demonstrated <0.2% dose stability over more than 99% of fields for marathon wafer-simulation runs.  In similar tests Cymer has demonstrated up to 200 hours (laser-on) of collector protection prior to 50% loss of reflectivity.

At this point in the presentation, Brandt switched gears to discuss the development and production-readiness of Cymer’s second-generation light source. Cymer reported that HVM II source architecture is complete and detailed design is in progress for ASML NXE 3300B scanners. He went on to disclose the guiding drivers of HVM II sources, indicating the second-generation drivers as the following: 

  1. Vessel/collector geometry change
  2. Increased EUV power
  3. Reduced installation and service time

With the above in mind, early projections from Brandt place the first shipment of HVM II (3300) sources in Q1 2012. At that point, the power and collector lifetime will be similar to that of the 3100 source, while meeting all other 3300 requirements. Overall, source performance will be increased through a series of upgrades for power and collector protection. Changes include: 

  1. Higher EUV power required
  2. Increased source orientation angle (increases scanner optical throughput)
  3. Higher NA collector
  4. Smaller source vessel
  5. Designed for improved serviceability

 Anticipating the question about forward-looking plans, Brandt projected third generation (HVM III) source development as beginning in 2013.

The formal TechXPOT sessions ran over the allotted presentation time and as a result, a formal Q&A discussion was tabled, but we did catch Brandt for a few additional comments on what he’s seeing at SEMICON West this year—and what today’s issues and trends mean for the industry. Brandt summarized, “Demand for EUV is at an all-time high and all chipmakers are projecting soaring costs associated with 193nm immersion double patterning techniques. Although there are many creative techniques to work around not having an exposure tool with shorter wavelength, those solutions are far more expensive than having an EUV source and scanner to do the same job in a single exposure.”

 

Mattson Showcases Advanced Dry-Strip Tools

Mattson Technology Showcases Advanced Product Portfolio at SEMICON West 2011

Mattson Technology sets the dry strip technology/productivity bar with SUPREMA® XP5, based on the production-proven, vacuum-based, high-throughput SUPREMA platform and proprietary Faraday-shielded ICP source technology. The system is designed to deliver the extendibility, performance, CoO and reliability chipmakers require for high-volume production of current/future-generation logic, DRAM and flash memory devices. SUPREMA XP5 provides the most flexible and cost-effective solution for advanced FEOL/BEOL strip applications using oxygen-based process chemistries.

A new etch paradigm, Mattson Technology’s paradigmE® family (paradigmE dielectric and paradigmE Si silicon etch) enables customers to meet stringent processing requirements for device manufacturing at leading-edge technology nodes. The production-proven systems feature Mattson Technology’s Faraday shielded ICP, designed to deliver best-of-class process performance, improve etch process control and enhance mean-time-between-clean performance by >3x over competitive systems. The paradigmE systems enable true independent control of ion density and energy, providing improved profile control and minimized erosion of chamber parts to reduce maintenance costs for the lowest CoO.

Mattson Technology extends its RTP leadership in memory to logic/foundry by delivering reliable, high-productivity, cost-effective RTP tools chipmakers need to address critical thermal processing challenges for advanced device production/development through 2Xnm and beyond. The Helios XP uses our industry-leading low-temperature capability, >15-year patented HotShield™ technology, dual-side and asymmetrical heating (with our unique Differential Energy Control feature) approaches to address pattern-loading effects and deliver repeatable within-wafer/wafer-to-wafer uniformity. Our Millios millisecond anneal arc-lamp-based system processes the entire wafer surface in one millisecond flash and offers enhanced wafer temperature management at higher throughput than alternative approach systems.

www.mattson.com

 

Paul Timans of Mattson Speaking on Pattern Effects in RTP

Paul J. Timans, Ph.D., the Director of Technology for the Thermal Products Group of Mattson Technology will present today in the South Hall TechXPOT at 1:00pm today. 

The need for improved process control has stimulated many innovations in RTP equipment technology, especially in the area of temperature measurement and control. One critical requirement is for thermal uniformity across the wafer to ensure process uniformity and to minimize thermal stresses that could introduce defects. State-of-the-art RTP systems have been shown to demonstrate temperature control better than 2°C 3σ across process monitor wafers.

As device scaling continues to tighten process control requirements in advanced semiconductor manufacturing, a new challenge is emerging in the field of thermal processing. Pattern effects — arising from the effect of patterned thin film coatings on radiation heat transfer — can lead to process non-uniformity and defect generation. In essence, wafer temperature uniformity can be limited by the influence of device patterns on heat transfer. The pattern effect issue will become even more important as die sizes increase with innovations such as embedded DRAM and system-on-a-chip (SOC) technology. The advent of silicon-on-insulator (SOI) substrates is also significant because these wafers inherently contain silicon-on-oxide structures that can have a major influence on thermal radiative properties and heat transfer.

Attend the NCCAVS Thin Films User Group’s Advanced Process and Integration in Semiconductor Technologies seminar today, July 14, 2011 to hear Dr. Paul J. Timans, Director of Technology for the Thermal Products Group of Mattson Technology, present on pattern effects in thermal processing and how our advanced RTP systems minimize these pattern effects. The event will be held at the SEMI TechXPOT in Moscone Center’s South Hall from 1:00pm– 3:00pm.

 

 

Posted in Uncategorized | 1 Reply

Insights from Intel

Intel expert talks about the drivers of future chip design and manufacturing

Mark Bohr, senior fellow and director of Intel’s process architecture and integration, sat down with SEMICON Daily News to talk about the company’s push into Tri-Gate, its future SoC direction and drivers for chip design and manufacturing in the future. What follows are excerpts of that conversation.

SDN: Will Tri-Gate be as repeatable for other types of less regular SoCs as for Intel’s processors?

Bohr: Yes. All of this is base technology that is intended to meet the needs of a wide range of products. That’s certainly true for the 22nm generation. The same Tri-Gate structures will be used on our high-performance processors as well as our low-power and SoC types of products.

SDN: Intel has always tried to limit the number of masks it uses for economic reasons. Can others do that, and can Intel do that for other types of chips?

Bohr: Intel historically has tried to minimize costs with the number of masking steps. That’s our goal on any technology — to deliver the best-possible density and the best-possible performance at the minimum amount of mask-out. But that’s getting more difficult for all of us. At 22nm we have to either start adding more interconnect layers to meet the needs of the high-performance processors or we have to start using more double-patterning layers to get down to the tight pitches and tight design rules. We’re still very cognizant of and sensitive to keeping wafer costs low, but our processors — which range from high-performance to low-power Atom SoCs — will not use the same number of interconnect layers.

SDN: Is Intel looking at 2.5D and 3D stacking?

Bohr: Yes, absolutely. It’s not only how to optimize the transistor on the chip, but how you package multiple chips into a 3D form factor. We’ve been exploring TSV technology and 3D packaging for quite a while, and they’re coming along.

SDN: Is Wide I/O a major factor in this?

Bohr: That’s one of the ways to use 2.5D and 3D stacking. You can have a Wide I/O memory chip, which has better memory bandwidth at lower power so the memory solution is more power efficient. But these small handheld devices also are very cost sensitive. What’s holding us back now are the cost issues around TSVs and 3D stacking. We see that solution coming, but it will not be widely adopted very soon.

SDN: Is it a matter of volume and experience?

Bohr: It’s that and drilling through the wafer and thinning down the wafer for stacking. Those are expensive added steps.

SDN: Is this all still bulk CMOS forever?

Bohr: When we looked at our Tri-Gate technology, we settled on a bulk wafer. You can also make Tri-Gate or FinFET devices using SOI wafers, and some people may choose that. We felt our bulk approach was a bit more cost-effective, but there’s a good chance over the next five years that other companies will produce FinFETs using SOI wafers.

SDN: How about new channel materials? There was talk about indium gallium arsenide in the NFET and germanium in the PFET.

Bohr: Intel has been pretty active in researching that and publishing papers. Every year we’ve presented data at IEDM on channel materials. This is another good example of how we’re trying to explore new materials and new device structures to reduce operating voltage. Three to five channel materials may get us from 0.7 volts down to 0.5 volts. 

SDN: Where do we stand with EUV and eBeam?

Bohr: It probably won’t be available at 14nm, but we’re hoping it will be available for the generation after that.

SDN: What does that buy you?

Bohr: What Intel always does for technology as important as lithography is to pursue multiple parallel paths. When it comes time, that way we have more than one choice. We choose the one that best meets the dimensional capabilities as well as the cost. We have had our hopes on EUV for some time, but luckily we’ve also pursued immersion and double patterning in parallel. That has come up better than most of us dreamed possible. It’s not that EUV isn’t there yet, but double patterning with immersion has really delivered.

SDN: So you can get by with double patterning and immersion for the foreseeable future?

Bohr: Yes.

SDN: What will finally force a shift, if anything?

Bohr: It will come down to cost. Are two immersion-patterning steps more cost effective than a single EUV step? Right now, double patterning is more cost-effective.

SDN: When you look out a couple nodes, what does a chip look like? Is it still planar and denser, or is it a completely different approach?

Bohr: One important perspective is that chip technology is becoming more heterogeneous. If you go back 10 or 20 years ago, it was homogenous. There was a CMOS transistor; it was the same materials for NMOS and PMOS, maybe different dopant atoms. That basic CMOS transistor fit the needs of both memory and logic. Going forward, we’ll see chips and 3D packages that combine more heterogeneous elements, different materials, and maybe transistors with very different structures, whether they’re for logic or memory or analog. Combining these very different devices onto one chip or into a 3D stack — that’s what we’ll see. It will be heterogeneous integration.

SDN: It sounds as if you’re venturing heavily into the SoC world, where Intel hasn’t really been a force.

Bohr: Mainstream microprocessors are very much like an SoC chip. They’re not quite like what you expect in a smart phone, but if you look at the Sandy Bridge chip compared with a processor 10 years ago that was just logic transistors and SRAM memory. Today’s chips have integrated logic controllers, integrated graphics, a lot of analog elements and phase-lock loops. If you go into a different market, like a true SoC chip for a smart phone, then you have even more integrated functions. But that’s where Intel and our industry are headed — integrating more functionality into a small form factor, whether it’s an SoC or a 3D stack.

SDN: Is part of the goal to sell the whole device, or maybe just a piece of the device?

Bohr: The technology goal is to integrate as many functions into the smallest possible form factor for the lowest possible power. From a business perspective, a company that can do as much of that combined stack as possible will be in a better place than if you’re just one of the component suppliers.

SDN: But you probably won’t develop all the pieces yourself, right? For that, you’re going to need partners.

Bohr: Yes, and that’s the expected tension. Do you do all the pieces and control the full integration or do you do better if you pick and choose pieces from partners in the industry? The winning solution will probably be a compromise of those two. You may not do everything on your own, but maybe you do most of it.

SDN: This is a massively broader market for Intel.

Bohr: Intel is re-inventing itself. We are getting much more into this SoC business, and it requires different skills and interests as we put together all these pieces.

SDN: As a large IDM, Intel has the ability to define what goes where on a chip and how the chips that are stacked with it are designed.

Bohr: I don’t disagree with that. The more technology pieces you control internally, so you can do that co-optimization, the better the technology will be and the better your business will be.

SDN: Does that mean you now push only certain pieces to the bleeding edge of Moore’s Law?

Bohr: That’s certainly one of the options, and one of the desirable features, of the 3D stacking approach. You don’t have to use leading-edge technology to do the whole chip. You can use the right technology for the right part of the system.

SDN: And quickly, too, right?

Bohr: Yes.

SDN: Most SoC developers are talking about more software content. Will that be part of this focus, particularly with the Wind River acquisition?

Bohr: I’m not a software expert, but one thing that is not well known is just how large of a software company Intel is. Wind River was just one acquisition, but we are one of the top companies in the world working on software.

SDN: But are the software and hardware teams now working together?

Bohr: There is a lot of collaboration. It’s not enough just to offer a certain core. The SoC circuits have to be optimized for the software.

– Ed Sperling

Introducing Horiba’s New N100 MFC

Challenging processes and validation methodologies increasingly require world class flow metrology.  Horiba has been a leader in metrology technology since 1947 and has a unique ability to apply decades of learning and expertise in the development of new products.  Horiba’s N100 General Purpose MFC exemplifies this ability by addressing the performance and technology demands of a new generation of process characterization and control, and tailoring the finished product to the market.

Our innovative management of established and proven flow measurement and control technologies combined with years of actual-gas testing has resulted in a series of flow controllers with the highest accuracy, the best repeatability and the easiest (and free) configuration software available.  Available in flow ranges up to 200slm, the N100 is ideal for most process control and lab testing applications.  By providing multiple communication / power options, including 0-5V and 4-20mA signals and 13 – 24V power, our customers are assured easy product selection and integration.  The ability to reconfigure devices to different gases / ranges, even while the Mass Flow Controller is still installed in the tool, means faster process validation and greater  control of spare parts and part numbers.  And remember, Horiba’s reconfiguration technology differs significantly from other products on the market because it is based on a decade of actual-gas testing at our Sparks, NV research facility. 

Simply put, the N100 is the latest in a long line of industry leading process-enabling flow control devices from Horiba.  Contact your local representative today or visit us at www.horiba.com

Why Buying Refurbished Equipment Makes Sense

A Perspective from ClassOne Equipment

In today’s economy, everyone is interested in saving money whenever and wherever they can, so when a refurbished semiconductor equipment company like ClassOne Equipment offers you the chance to save up to 65 percent over buying new, the savings are worth a look. ClassOne refurbishes each high-quality piece (from top manufacturers like Suss Microtec, EVG, Semitool, KLA-Tencor or Hitachi) to original factory specifications, provides installation and training by factory-trained technicians, and backs it all up with an industry-leading 6-month warranty and 30-day return policy. With this unbeatable combination of value and quality, buying refurbished equipment just makes good business sense.

To discuss the numerous benefits of refurbished equipment, visit ClassOne in Booth #741 or join us for a complimentary happy hour on July 12 from 3:30pm – 5 pm. Please visit us any time at www.ClassOneEquipment.com

 

Avantor Releases J.T.Baker Etchant

At SEMICON West, Avantor Performance Materials, the former Mallinckrodt Baker Inc., introduced a new selective etch product for FEOL poly gate strain engineering. The J.T.Baker SLCT 128 sigma etchant etches an exposed silicon area, creating a well-defined “sigma” shape for epitaxy, removes post-etch residue, and acts as a preclean, said Avantor director of electronics marketing, John Bubel.

The etchant is the first product in a new series of selective etch chemistries for FEOL poly-gate strain engineering. It under-etches the “sigma” shape of the gate layer to improve electrical performance on advanced nodes. The etchant does this by selectively etching doped silicon crystallographic planes <111> and <110> without affecting the surrounding materials, Bubel said.

J.T.Baker SLCT 128 was developed as a “self-cleaning” chemistry; no additional rinse is required for cleaning after the etch process. By creating well-defined crystallographic planes, it improves the lattice matching between the deposited SiGe and the existing silicon for better device performance, he said.

Applications include strained silicon channels, in which silicon germanium (SiGe) and silicon nitride (SiN) are deposited to induce strain on the silicon lattice under the gate region. It also is applicable to finFET structures where the semiconductor material is vertical rather than horizontal.

No pre-implant operations are required to tailor the initial etching, Bubel said. In some cases, plasma pre-etching can be eliminated or reduced. The SLCT 128 produces a clear, faceted sigma shape, with a highly controlled rate of etch, leading to increased line yield and die reliability, he said.

Avantor (in booth 1607) said it has entered into a joint development agreement (JDA) with Sachem Inc. to provide etch chemical solutions for the semiconductor manufacturing industry. The first product implemented under the agreement is the J.T.Baker SLCT 128 sigma etchant for FEOL poly gate structuring.

–David Lammers

 

Posted in Uncategorized | 1 Reply

If You Don’t Measure It, It Never Happened

SEMI Does Data, Too

Paul Otellini of Intel caused something of a stir among semiconductor industry watchers at the Intel Q1 2011 earnings conference call in April. At that meeting, he made the comment that third-party market research firms had issued reduced forecasts for the PC business, but that Intel was standing by its assessment that the market was going to grow by double digits in 2011 and beyond.

This announcement, and the subsequent analyses and commentary by pundits, analysts and various industry insiders, highlighted one point: while different observers can expect different forecasts and results from the same sets of data, the ability to do reasonable forecasting of any kind requires solid, well-researched data.

SEMI has provided market research data to the industry almost since its founding, and nearly everyone with a serious interest in the industry uses SEMI reports. SEMI’s current portfolio of market data products now includes the following:

 

  • The Equipment Market Data Subscription (EMDS) – This is a complete source for semiconductor equipment market information, including China, and it includes the SEMI Book-to-Bill Report, the Worldwide SEMS Report, and the SEMI Equipment Forecast.
  • The World Fab Forecast – The SEMI World Fab Forecast provides high-level summaries, charts and graphs; in-depth analyses of semiconductor capital equipment spending, capacity, technology nodes and wafer size, down to the detail of each fab; and forecasts for the next 18 months.
  • The Worldwide Semiconductor Equipment Market Statistics (WWSEMS) Historical Report (1991-2009) – The WWSEMS report provides monthly historical data of the global equipment bookings for 22 categories in North America, Japan, Europe, Korea, Taiwan, China and Rest of World (ROW). Japanese-headquartered companies provide inputs through SEMI’s partner, the Semiconductor Equipment Association of Japan (SEAJ).
  • The Historical Book-to-Bill Report – The SEMI Historical Book-to-Bill provides global bookings and billings by month for North American-based semiconductor equipment manufacturers for the period from 1991 to 2008.
  • The new Secondary Semiconductor Equipment Market study provides data and analysis that defines the secondary equipment, services and technology markets.

 

More information on all of these SEMI market reports is available at www.semi.org/en/MarketInfo. If you need to understand the semiconductor industry, SEMI market data is your best starting point.

Executives See Market Cooling as PC Sales Slow

Executives See ‘Pause’ In Equipment Buys

Demand for semiconductor equipment has become as chilly as the summer San Francisco weather, with foundries experiencing lower utilization rates and memory makers wary of adding more capacity in the face of relatively weak PC sales.

Several major semiconductor companies have postponed orders, said Novellus Systems CEO Rick Hill who characterized the push-outs as a “pause” in an otherwise robust semiconductor environmentHill spoke to analysts at SEMICON West shortly after the company reported that sales for the second quarter were $350.2 million, down $63.0 million (15.2 percent) from the first quarter of this year. Bookings were $311.6 million, down $103.5 million or 24.9 percent from the first quarter.

Hill said the financial results “are not the greatest news, but I do believe they are temporary news.” World financial uncertainty, coupled with the natural disasters in Japan, combined to weaken demand for semiconductors. “There is uncertainty in capital spending because of these worldwide events,” he said.

At an Applied Materials event this week, CEO Mike Splinter said factors such as high unemployment in the U.S., the Greek debt crisis, and other macroeconomic issues “are weighing on the demand” for electronics products.

Splinter argued that “the fundamentals are still good” for the semiconductor industry, with a still largely untapped market in the so-called BRIC countries of Brazil, Russia, India and China. Those nations account for about 18 percent of the world economy, compared with the 23 percent share of the U.S. But BRIC countries account for only 12 percent of electronics spending, while U.S. electronics sales are 28 percent of the total.

PC sales growth has not been stellar this year, and tablet sales by vendors other than Apple Inc. have been disappointing. “We thought DRAM investments would have come back this year, but that is less likely with the PC growth rates we are seeing,” he said.

Foundry utilization has dropped to the 80 percent range, low enough for some foundries to become more conservative. “They are cautious, but they want to be prepared” in case demand snaps back, Splinter said.

Novellus executives put the event’s focus on a two-year window, saying that by 2013 the trends toward wafer level packaging, vertical NAND, finFETs and other advanced transistors will propel Novellus’ annual revenues to the $2B level, up from roughly $1.5B now.

Tim Archer, the Novellus chief operating officer, said the company has developed tools capable of  “making 3D NAND technology viable.” By vertically stacking NAND cells, memory vendors could get back on track with the needed reductions in the NAND cost per byte.

Armed with higher densities from vertically stacked bit cells, NAND memory vendors will be able to price solid-state disk (SSD) memory at a roughly 2:1 ratio with hard disk drive storage. “At 2:1, we will start to see a massive conversion to SSDs. 3D NAND will have a huge cost advantage,” Archer said.

– David Lammers