Karen Savala: What’s Happening at SEMICON West 2011

MySemiconDaily interviewed Karen Savala, President, SEMI Americas, and asked her about the upcoming SEMICON West 2011 exhibition. Here’s her perspective on the new and interesting features, activities, and events available to attendees.

MSD: “Karen, what’s new at SEMICON West 2011?”

KS: “SEMICON West is the industry’s one event that everyone wants to attend. SEMICON West is always interesting, but this year, we’ve got a truly great show for attendees.”

“The Keynotes and Executive Sessions are always big draws, because these are where the thought leaders of the industry share their sometimes-controversial and always-insightful perspectives. This year, we’re very pleased to have as keynote speakers Tien Wu, ASE; Rama K. Shukla, Intel; and Luc Van den hove, IMEC. The SEMICON West Executive Summit, which will be held this year on Wednesday, July 13, has industry CEOs with differing perspectives engaging in often intense dialogue about future growth and opportunities. And the Bulls and Bears investor conference closes out the week on Thursday, July 14, with a panel of industry and financial analysts.”

 

“Many people come to SEMICON West for the networking – to meet with friends and colleagues and to develop new contacts. We’ve expanded the networking opportunities this year, so we’ll have a VIP Reception on Monday, and then the first-ever SEMICON West Happy Hour will be held on Tuesday, July 12, from 3:30-5:00pm, with no-host bars located across North and South Halls and at sponsoring exhibitor booths.”

“But the core of every SEMICON West exhibition is the technology that drives innovation, and we’ve expanded the offerings for this year. The Advanced Technology Manufacturing TechZONE brings new companies to SEMICON West 2011, including Mentor Graphics, GLOBALFOUNDRIES, IBM, Samsung, Amkor, and ASE, as they reach out to new audiences from the design and fabless community.”

“And there are the Technology Sessions at the TechXPOTs. One of the most popular features of SEMICON West is the TechXPOTs, which this year highlight device architecture for logic and memory, 3D ICs, semiconductor test, high-brightness LEDs, MEMS, flexible electronics, power semiconductors, design, and productivity.”

MSD: “What’s happening at SEMICON West 2011 for the secondary equipment market companies?”

KS: “The secondary equipment market is becoming extremely important for equipment manufacturers, especially for 200mm equipment. There will be a ‘Secondary Equipment Stage’, and the SEMI Secondary Equipment Group has announced new market data for this segment. No one has really understood or articulated the value of the secondary market to date, because there wasn’t data. Now there is, and it will be at the show.”

MSD: “What activities are planned for the online community during and after the show?”

KS: “We will be streaming some of the keynote content for those who were unable to attend this year’s show in person. And we intend to extend the life and the value of SEMICON West 2011 through Webinar content, as there’s a critical industry need for the information discussed at the technology tracks. We’re considering holding monthly or bi-monthly Webinars after the exhibition so that attendees can keep up with what happens after the show – when companies take this information and integrate it into product and manufacturing plans.”

MSD: “Thank you, Karen Savala.”

 

MySemiconDaily – the Online SEMICON West Daily News

Sure, you already know that SEMICON West 2011 is happening this year at Moscone Center in San Francisco from July 12-14. You know it will be the leading semiconductor industry event for information and for industry networking opportunities.

But do you know that the SEMICON West Daily News – the daily update of news, information, and events from the show – is happening right here, right now, and every day through the show and after?

MySemiconDaily has all the information that’s in the SEMICON West Daily News, so you can come here to see what’s happening and where. The Daily News has information that’s updated every day about what occurred, what to do today, and what’s scheduled for tomorrow. But even better than the print version, the MySemiconDaily site will have extra information that’s not in the Daily News – more in-depth coverage of the show, more news and information, and it will all be available right here as it happens.

MySemiconDaily will also continue on after the San Francisco show closes. We’ll keep our information available here online, and we’ll constantly add follow-up information to the events of the show. This will be a great complement to the material available from SEMI, as MySemiconDaily is the one place where you can get SEMICON West 2011 exhibition info along with news, tech info, and market trends from independent sources.

And of course, you can follow us here at MySemiconDaily on Twitter and YouTube – we’re active before, during, and after show, and you can add your comments and perspectives to the discussions.

So bookmark us, add us to your favorites, friend us, like us, or just drop in – everyone is welcome here at MySemiconDaily. We’re the online site of the SEMICON West 2011 Daily News, and we’re a great resource for those looking for the latest event and industry information.

Welcome!

 

Jonathan Davis: The State of the Global Semiconductor Business

MySemiconDaily interviewed Jonathan Davis, President, Global Semiconductor Business at SEMI, and asked for his perspective on the state of the global semiconductor business today.

MSD: “What’s defining the semiconductor business today?”

JD: “The industry is going great – this is the first time the industry has crossed the $300 billion mark. We expect to see continued growth for the next three years, and we see investments not just in foundries for flash memory, but for the new technologies that are driving advanced devices.”

MSD: “What are the biggest issues facing the industry today, and how are they being addressed?”

JD: “There is a plethora of issues facing the industry. There’s 3D – not only the movement to 3D device structures, but also the challenges of 3D packaging as it becomes critical to multilevel stacking and smaller die footprints. Both of these areas demand investment in R&D and innovation to bring this technology to market, but like most significant changes in the industry, 3D should result in smaller, faster, and cheaper devices. Of course, next-generation lithography – EUV – is a hot topic, and has been for a while. The engineering that has kept current lithography techniques advancing far beyond what was expected is nearing its limit, so EUV investment is rising – but it’s a significant amount of investment. And then there’s also the transition to 450mm diameter wafers. Discussions on this topic began a number of years ago, but we’ve seen that this transition will be a very expensive one that needs a lot of forethought and planning in order to happen efficiently.”

MSD: “How big an impact is there from new personal computing and communicating devices, and have these offset the general worldwide slowdown in PC unit growth?”

JD: “I think the fascinating inflection point here is the development and adoption of tablets, pads and their technology. These products, with their global, local, and social capabilities, are changing the markets for computing products, and it’s another growth area for the industry. The demand for semiconductor devices of all kinds and in all form factors continues to rise worldwide, as any slowing of growth in one region or area is usually offset by a rise in another area. We’re seeing the prospect of continued growth – even improved growth – in emerging markets, and we expect the number of transistors sold each year to continue to increase. The world consumes transistors, and there’s no indication that this will change.”

MSD: “What lasting effect has the earthquake and subsequent tsunami that struck Japan of March 11, 2011 had on the semiconductor manufacturing industry?”

JD: “First, the earthquake and tsunami that devastated the Tōhoku area of Japan in March was a terrible human tragedy. We’re proud that SEMI staff, SEMI members, and friends raised over $50,000 for the SEMI Japan earthquake relief fund, all of which went directly to help those afflicted.”

“The semiconductor industry has recovered well from this unfortunate event. The majority of the semiconductor processing facilities in Japan were unaffected, but supply chains did get adjusted as manufacturers worked though inventory, relied on second sources, and spread their production and mixes across their global facilities. Remember that Japan is the world’s largest producer of chips, and is the world’s largest market for semiconductor manufacturing equipment, so there was the risk of serious global disruption. That has not come to pass, and companies are moving ahead.”

“And importantly, the semiconductor is one that learns – and spreads information – very quickly. Companies have learned much from this tragedy, and the lessons have already been implemented in many areas – from preparedness, to infrastructure rethinking, to second sourcing optimization, and beyond. Companies have been more than willing to share knowledge, advice, and best practices information around the world to ensure that they are prepared as possible when – not if, when – another natural event happens.”

“Again, this was a serious human tragedy, with great loss of life and deep impacts on many people. The semiconductor industry was of course affected, but now it’s clear the industry continues.”

MSD: “Thank you, Jonathan Davis.”

 

 

At SPIE 2011, Cymer Reveals Progress and Future Plans

Cymer, the industry-leading manufacturer of light sources enabling advanced lithography, announced continued progress in extending ArF immersion technology to handle multiple-patterning approaches.

Noting that multiple patterning demands higher light source power for throughput, high uptime performance, and increased optical performance stability for accuracy, Cymer’s vice president of marketing and lithography technology, Nigel Farrar, described how Cymer has enhanced the XLR 600ix platform to accommodate these heightened requirements.

Farrar discussed key advances in four areas. The first of these – focus drilling – is a method that provides up to a 2X improvement of depth-of-focus on the wafer, enabling a larger process window that can positively impact wafer yield. Cymer expects this to improve production capability for through-silicon-via (TSV) manufacturing. The second key advance is Cymer’s iGLX gas management technology, which dynamically adjusts gas entering the exposure chamber. Cymer expects this capability to further extend gas life duration up to 4 billion pulses, thus reducing light source downtime.

The third advancement is on-board beam metrology, which Cymer says will reduce maintenance downtime by enabling better analysis of performance and subsequent service planning. The fourth advancement is Cymer’s enhanced performance chamber, which monitors and maintains a consistent gap between laser source electrodes to increase light stability and performance over time.

Along with these incremental changes, Farrar also noted Cymer’s ongoing commitment to EUV light sources. He said that eight HVM I sources have been fabricated and are operational, and four of these sources have already been shipped, with one source now operational at a chipmaker customer. Many challenges still remain, but Farrar was optimistic about the progress made so far and the prospect for future achievement.

 

SPIE 2011: KLA-Tencor Lithography Users’ Forum

On the opening day of the 2011 SPIE Advanced Lithography exhibition, semiconductor metrology and process optimization leader KLA-Tencor held a Lithography Users’ Forum to present information on upcoming challenges and current progress on lithography semiconductor manufacturing. 

With IDM and foundry semiconductor capital expenditures predicted to rise significantly for 2011 over 2010, KLA-Tencor’s chief marketing officer Dr. Brian Trafas noted the wide range of patterning error sources faced by advanced lithography. Reticle errors, litho and etch cell errors, and wafer issues – especially flatness – present issues that require leading-edge solutions. 

Citing six areas for specific attention, Trafas noted extreme UV, pitch splitting, source mask optimization, ebeam direct write, nano-imprint, and quadruple pitch-splitting as areas where accurate and effective metrology will enable high-volume manufacturing success. 

For a foundry perspective, KLA-Tencor presented Dr. Burn Lin, the vice president of nanopatterning technology of TSMC and his perspectives on the scope and limit of Moore’s Law in lithography research and practice.

Dr. Lin said that after decades of pushing the envelope of die shrinks enabled through advancing lithography, the industry is coming to the widespread understanding that Moore’s Law will slow due to device limits, lithography limits, and economic limits.

For each approach and potential solution, said Lin, there are now several significant challenges to be overcome. For example, pitch-splitting faces hurdles of cost, design rule restrictions, processing complexity, and the requirement of overlay accuracy. EUV faces difficulty in laser power requirements, resist sensitivity, and mask flatness – the last of these requiring about an order of magnitude improvement over current commercially-available options.

An approach that shows promise – reflective ebeam lithography, or REBL – has its own issues, including throughput and the massive data processing that is required.

In the end, noted Lin, progress with be defined by a relatively straightforward lithography decision tree. If these new technologies can overcome their development and production issues, and if they can work in high-volume manufacturing, then TSMC will invest in them – IF the customers perceives enough incremental value to pay for the incremental cost. This incremental cost is rising significantly for advances in the 2X and 1X nodes, and now many challenges must be overcome to bring any of these approaches to market.

Lin’s presentation showed the need for ongoing and expensive lithography research to drive solutions at advanced geometries, and it also highlighted the need for – and the value of – advanced metrology and process control at these geometries, neatly supporting the importance of the work being done at KLA-Tencor today.

E-Beam Direct-Write Lithography: Does It Have the Support It Deserves?

At the MCA BrightSpots Lithography Forum’s live panel this week at SPIE’s Photomask Technology conference, an interesting discussion got underway about the funding — or lack thereof — for direct-write e-beam lithography technology development.

As moderator for the event, one of my first orders of business in the 45-minute discussion was to assess whether EUV lithography and e-beam lithography technologies were bitter rivals or in fact complementary techniques working together to extend semiconductor manufacturing capabilities in step with Moore’s Law. In a description of the all-day Wednesday special session at Photomask on e-beam direct write (EBDW), the organizers played up the downsides of EUV technology, seemingly pitting e-beam against the current frontrunner.

However, panelists Franklin Kalk (Toppan Photomasks) and Aki Fujimura (D2S) both made points regarding their likely harmonic co-existence. E-beam will likely be needed for different layers and applications than EUV, focused on low-volume, often prototype applications as opposed to EUV’s high-volume tact. In fact, e-beam’s ability to enable more designs and more tapeouts by avoiding costly mask development will ultimately lead to more business in any advanced lithography technique, including EUV.

But the discussion began to get a bit more heated when audience member Hans Pfeiffer (HCP Consulting Services) stood up and made the point that if e-beam could get the kind of funding that’s been thrown to EUV, it could easily overcome its challenges. He wanted to know why, if there were so many companies seemingly committed to e-beam’s development (as evidenced by the growing membership of the eBeam Initiative), was there not more money to back it up. But Sematech’s Warren Montgomery argued that e-beam has been around for long enough that people know whether or not it’s a worthwhile technology to pursue; if it were really so promising, it would already have the funding it needs.

Unfortunately, we ran out of time to let the debate fully run its course. But luckily, MCA plans to continue the discussion as the BrightSpots Lithography Forum goes online over the next seven days. Hopefully we can get back into this critical discussion, with the panelists and other industry players joining in to voice their concerns and solutions. Do you have a perspective that you’d like to add?

— Aaron Hand

Semicon West 2010 – Post Show Coverage

Aaron Hand video report on our post show coverage.  More than semiconductors, SEMICON West is also a showcase for emerging markets and technologies born from the microelectronics industry, including micro-electromechanical systems (MEMS), photovoltaics (PV), flexible electronics and displays, nano-electronics, solid state lighting (LEDs), and related technologies.

Mallinckrodt Baker Introduces New Post-Ash Cleaner

Covidien announced that its Mallinckrodt Baker specialty chemicals business is launching a new solution to improve post-ash residue removal processes for semiconductor manufacturing.

Before and after using the Baker CLk-168 post-ash cleanerBaker CLk-168 post-ash cleaner is the latest in the company’s line of semiconductor chemicals designed for today’s difficult process integration challenges. The post-ash residue remover is specifically formulated to provide maximum compatibility with low-k dielectrics, copper, advanced barrier layers and etch-stop materials.

The aqueous-based, post-ash cleaner removes etch/ash residues and sidewall polymers, which can interfere with semiconductor device performance, from copper dual-damascene structures. It offers short operating times (15-90 seconds for a single-wafer process) and low operating temperatures starting at 25⁰C.

Designed for use in bath, batch spray and single-wafer tools, the residue remover provides long bath life (typically greater than 48 hours) and gives process engineers more versatility across multiple technology nodes to optimize ash removal processes to match specific device and substrate material parameters. Semiconductor manufacturers using low-k dielectrics and copper can increase throughput, achieve greater manufacturing efficiencies, and reduce operating costs and total cost of ownership by taking advantage of these performance characteristics.

Continuing growth in the use of copper in today’s most advanced semiconductor device designs, along with new hybrid substrate materials that are much more sensitive to solvent-based cleaning products, led Mallinckrodt Baker to develop the Baker CLk series of copper-compatible semiconductor chemicals.

“Baker CLk-168 residue remover provides excellent properties for the complex film stacks that are now prevalent in the sub-22 nm node. It is the first of a new type of performance chemistry Mallinckrodt Baker is offering in our new etch-selective materials portfolio,” said John Bubel, director of marketing, microelectronics materials, Mallinckrodt Baker. “These semiconductor chemistries are engineered to preferentially attack a given material while having a near-zero removal rate on surrounding materials.”

Posted in Uncategorized | 1 Reply

Mobile Network Devices to Dominate Market, Says GlobalFoundries SVP

Gregg Bartlett, senior vice president, process technology and R&D, GlobalFoundries“We’re in the midst of a technological revolution tied to social networking and connectivity,” said Gregg Bartlett, senior vice president, process technology and R&D at GlobalFoundries. “Between 2009 and 2015, the industry is going to see a 50× increase in mobile data communication due to the increasing popularity of portable devices.”

A consequence to this boom in growth, Bartlett told the overflow crowd at yesterday’s keynote, “The Centrality of Silicon: How Semiconductors are Driving the Next Wave of Innovation,” is there will be a messy area where tablets, smart phones and netbooks will be competing with the traditional laptop and PC segments. Bartlett isn’t sure what the ultimate victor in this saturated market will be, but he says consumers will vote with their dollars.

Semiconductors have played a key role in the development of smaller devices, thanks to scaling, and will continue to be a dominant factor in the design of future products, Bartlett said. To meet consumer expectations, designers must turn to more advanced technologies, but that doesn’t come cheaply. “There’s a nearly exponential cost increase in bringing advanced performance to the marketplace,” Bartlett said. As a result, design starts have slowed over the past few years and this raises the stakes to a make-or-break point for the devices that do make it to market. R&D costs are rising, he pointed out, but so is revenue from advanced technology.

One of the most significant innovations that have taken place in CMOS fabrication since the inception of silicon VLSI is high-k/metal gate (HKMG) transistor technology, Bartlett said. “This is a truly revolutionary technology that enables continuous scaling benefits, and we’re confident in our ability to bring it to market, but the challenges associated with a new approach to building transistors can’t be ignored.” This is where collaboration becomes paramount to HKMG’s success.

Cost-effective 3-D stacking will also enable significant improvements in overall semiconductor performance, Bartlett added.

“The industry needs cost-effective EUV manufacturing, and failure here is not an option,” Bartlett said.

“Our strategy is to move past the pre-production tool step and straight to purchasing a production-level tool for installation in Fab 8 — our new leading-edge fab currently under construction in upstate New York,” Bartlett said. “We are planning to install this tool in the second half of 2012 so we can immediately begin the development work to enable volume production by the 2014/2015 timeframe. It is our collaborative approach to R&D that has put us in a position to make such a move — a move that will accelerate the charge to volume production for the entire industry.”

GlobalFoundries will be able to take what it learned from bringing immersion lithography to high-volume production and apply it to ramping EUV lithography to high volume, according to Bartlett. “From our perspective, we see immersion lithography getting us through the 22/20 nm node, but not without some serious cost challenges and added complexity,” he said. “We need another solution, and in our view EUV is the most promising candidate.”

Bartlett called on the industry to rethink the traditional foundry model. He emphasized a global manufacturing network instead of geographical concentrations in the Asia-Pacific region. He noted how GlobalFoundries has fabs in the United States, Germany and Singapore.

Collaboration is also going to be a huge factor in the future of semiconductors, and Bartlett broke down the combined efforts into three aspects:

  • Early stage — education, research, technology development and implementation and the materials and equipment community.
  • Design — IP/EDA, concurrent ecosystem solution development and leveraging shared learnings from early adopters.
  • Manufacturing — fab alignment with key partners and OSATs.

He cited the IBM Alliance — working jointly with IBM, Toshiba, Samsung and others — as an example of what collaboration will look like in the future. “These organizations will share the capital expenses,” Bartlett said. “But more importantly, they will share intellectual capital.

— Arthur Patterson, SEMICON West Daily News

Posted in Uncategorized | 1 Reply

Silicon Valley Luncheon to Answer Forecast Concerns

Semiconductor sales are booming, equipment sales are strong, materials are in high demand. … So why is there still a feeling that this recovery will be short-lived? Is there a double dip in the forecast? How will the overall economics of our nation and the world affect the semiconductor industry? Why, in the midst of the one most formidable recoveries we have seen in the past 20 years, do we still feel like the other shoe is soon to drop?

Come to the 13th Annual Silicon Valley Forecast Luncheon on August 25 at the Santa Clara Marriott Hotel to find the answers. Here’s a snapshot of the speakers and topics:

Bill McClean, IC Insights, “The View From 30,000 Feet.” McClean will bring his most up-to-date statistics about general trends, drivers and IC sales in the near and long term. Come hear one the most engaging speakers tell you why he thinks things will be great until … well, you’ll have to come and hear this for yourself.

John Housley, Techcet Group, “The Materials Perspective.” Find out which semiconductor manufacturing materials are in short supply, what price pressure is doing to the materials supply chain, and which materials may be the most profitable in the future. Housley has more than 40 years of experience in semiconductor materials, and his perspective is unique among the very few analysts that follow the materials market.

Bob Johnson, Gartner, “The Equipment Perspective.” Johnson is one of the most highly rated speakers in our luncheon series, and he will not only bring the forecast numbers but also Gartner’s extensive research flavored with his extensive background and viewpoint.

Start time is 11:30 a.m. It’s a two-hour luncheon that may just answer all your questions. Visit www.semi.org/svlf for more information and to register.

— Anna Morais, Senior Program Coordinator, SEMI