James C. Morgan Announces $1,000,000 “Challenge Grant” for High Tech Industry Workforce Development

James C. Morgan, chairman emeritus, Applied Materials, Inc., today announced his commitment to match up to $500,000 in donations to the SEMI Foundation. The SEMI Foundation supports STEM education and promotes career awareness in the areas of semiconductor and high-tech manufacturing and technology. Morgan announced the “challenge grant” — to secure $1 million of funding support for workforce development — during the SEMI Press Conference at SEMICON West 2014, the largest microelectronics manufacturing event in North America.

Morgan committed to pledging up to $500,000 to the SEMI Foundation and invited others in the high-tech industry to join him in contributing in blocks of $50,000 or more. Over the next three months, Morgan will match every contribution of $50,000 or more.  A “success” party is planned in October at Ferrari Silicon Valley, hosted by Art Zafiropoulo, CEO of Ultratech.

“Today, we all have a role in supporting students’ success in their academic and career goals,” said James Morgan. “The SEMI Foundation has gotten thousands of young people excited about the importance of math, science and the opportunities in high-tech careers through its dynamic High Tech U program. It is time for the industry to take the High Tech U program to the next level and achieve even greater impact. I encourage you to commit qualifying contributions during the challenge period to the SEMI Foundation.”

Through High Tech U, the SEMI Foundation has conducted more than 170 programs for both students and teachers with a combined impact on more than 350,000 individuals.  High Tech U programs consist of a three-day “hands-on” science-based curricula and interactive professional skills development program. SEMI held 20 programs in 2013 in Europe, Japan, Korea and the United States.  The Foundation plans to expand the impact of the High Tech U franchise through enhanced program development, portal-based student engagement and tracking, industry employment information assistance and other improvements.

“Jim and Becky Morgan epitomize leadership and generosity through their tireless work to foster education,” said Denny McGuirk, president and CEO of SEMI.  “We commend his numerous contributions to SEMI, our industry, and the youth who will occupy high-tech careers in the future. We also encourage others to accept the challenge and to support the SEMI Foundation.”

Morgan has an extensive history in business and philanthropy. He is chairman emeritus of Applied Materials. He previously served as chairman of the board from 1987 to 2009, and as chief executive officer from 1977 to 2003. Morgan is the recipient of the 1996 National Medal of Technology, IEEE Robert N. Noyce Medal, and Silicon Valley Leadership Group’s “Spirit of Silicon Valley Lifetime Achievement Award.” Morgan was vice chairman of the President’s Export Council in 2003. He was appointed to the 2002 U.S.-Japan private Sector Government Commission. From 1996 to 1997, Morgan served on the Commission on U.S. Pacific Trade and Investment Policy. From 1988 to 1992, he served on the National Advisory Committee on Semiconductors.

FinScale’s Quantum FinFET Aims to Revive Moore’s Law

FinScale Incorporated, the semiconductor device and process innovation company, today announced immediate availability of its qFinFETTM technology, a next generation 3D MOSFET architecture and manufacturable process readily transferable to foundries and integrated device manufacturers. Crafted from the combination of many unique device and process innovations by FinScale’s scientists, the qFinFET technology offers significant improvements in performance, power efficiency and circuit density, along with substantially lower leakage, parametric variability and manufacturing costs than available advanced node FinFET and planar technology alternatives. From a device design optimized for quantum effects, ballistic transport and the nano-material properties of silicon, this quantum FinFET device architecture will scale to the end of the silicon MOSFET era.

“The technology shift from planar to 3D device architectures has opened new degrees of freedom and exciting opportunities for new innovations,” said George Cheroff, a prominent IBM Research manager and semiconductor pioneer who envisioned and developed the first n-channel planar MOSFET process used for memory and logic circuits in computers. “The qFinFET technology elegantly combines the advantages of current FinFET and planar FD-SOI technologies, and mitigates their inherent weaknesses to provide a unifying platform that will put the semiconductor industry back on track with Moore’s Law.”

“FinScale’s qFinFET offers manufacturers a high-yield 3D process for building scalable aspect-ratio fins that can be formed without double patterning down to the 14/16 nm node, and provide increased performance and transistor width (W) per unit area,” said Jeffrey Wolf, president and chief executive officer at FinScale. “Resulting fin transistor topologies deliver additional area reductions, and offer designers further area-saving and performance-boosting opportunities to differentiate at the cell library and circuit level when integrated with leading middle-of-line (MOL) technologies.”

“We conceived the Quantum FinFET by pushing silicon to its quantum scaling limits, while seeking to maximize carrier mobility, electrostatic gate control, yield and reliability,” said Dr. Victor Koldyaev, Finscale’s chief technology officer. “Using this approach we designed the qFinFET front-end-of-line (FEOL) device and process solution for the 7 and 10nm generations, and were pleased that the same device concept would significantly boost parametric performance and economic returns for manufacturers back to the 28/32nm node. We then laid out standard cells, SRAMs, eDRAMs and 2-bit/cell non-volatile memories using industry standard design rules and realized that we could readily exceed the best published results at those nodes and give manufacturers and designers opportunities for further improvement.”

The qFinFET technology offers unique benefits for foundries and integrated device manufacturers. The included high density and high performance logic and memory configurations, along with inherent low-noise analog/RF device characteristics, make qFinFET a robust SoC platform, either on bulk or SOI substrates. Standalone DRAM, flash and SRAM memory designers and manufacturers can configure the included bit cells into dense arrays, and build dense, highly reliable sense amplifiers and low-leakage pass transistors.

FinScale will be presenting its qFinFET technology at the Silicon Innovation Forum (www.semiconwest.com/SIF) at the SemiconWest conference on July 8, 2014 in San Francisco at the Moscone Center. CEO Jeffrey Wolf will present FinScale’s investor pitch at 10:15am in the North Hall, room 134. Then from 4:00pm to 6:00pm Mr. Wolf and Dr. Victor Koldyaev will be presenting posters at the Silicon Innovation Forum Showcase and Reception.

SEMI Announces Results of Board Elections and Leadership Appointments

SEMI today announced that Martin Anstice, president and CEO, Lam Research Corporation; Kevin Crofton, president and COO, SPTS Technologies; Tien Wu, COO, ASE Group; and Guoming Zhang, executive vice president, Sevenstar Electronics, were elected as new directors to the SEMI International Board of Directors in accordance with the association’s by-laws.

Six current board members were re-elected for a two-year term:  Nobu Koshiba, president and representative director, JSR Corporation; Yong Han Lee, chairman, Wonik; Sue Lin, vice chairman, Hermes Epitek; Toshio Maruyama, chairman, Advantest Corporation; Tetsuo Tsuneishi, vice-chairman of the board, Tokyo Electron, Ltd.; Natsunosuke Yago, president and representative director, chairman of the board, Ebara Corporation.

Additionally, the SEMI Executive Committee confirmed the continued leadership by André-Jacques Auberton-Hervé, chairman, CEO and president of Soitec, as SEMI chairman and Yong Han Lee, chairman of Wonik, as SEMI vice-chairman.

The leadership appointments and elected board members’ tenure becomes effective at the annual SEMI membership meeting, to be held Wednesday, July 9, during the SEMICON West 2014 exposition in San Francisco, California.

“We are honored to have four distinguished industry leaders joining the SEMI Board and appreciate the continued service of those that have been reelected,” said Denny McGuirk, president and CEO of SEMI. “The SEMI Board reflects the regional and market diversity of our worldwide membership. Their service, commitment and leadership are tremendous assets for our association and our industry.”

SEMI’s 20 voting directors and 11 emeritus directors represent companies from Europe, China, Japan, Korea, North America, and Taiwan, reflecting the global scope of the association’s activities. SEMI directors are elected by the general membership as voting members of the board and can serve a total of five two-year terms.

SEMI Forecasts Back-to-Back Years of Double-Digit Growth in Chip Equipment Spending

SEMI projects back-to-back years of double-digit growth in worldwide semiconductor equipment sales according to the mid-year edition of the SEMI Capital Equipment Forecast, released here today at the annual SEMICON West exposition. The SEMI outlook calls for the total semiconductor equipment market to grow 20.8 percent in 2014 to reach $38.4 billion and to expand another 10.8 percent in 2015 to exceed $42.6 billion.

Following two years of spending declines, key drivers for equipment spending are investments by foundry and logic fabs for sub 20nm technology, NAND flash makers for leading edge technology (including 3D NAND) and capacity, DRAM technology upgrades for mobile applications, and expansion of advanced packaging capacity for flip chip, wafer bumping, and wafer-level packaging.  All regions of the world are projected to see equipment spending increases in 2015.  Front-end wafer processing equipment is forecast to grow 11.9 percent in 2015 to $34.8 billion, up from $31.1 billion in 2014.  Test equipment and assembly and packaging equipment is forecast to experience growth next year, rising to $3.1 billion (+1.6 percent) and $2.6 billion (+1.2 percent), respectively. The forecast indicates that next year is on track to be the second largest spending year ever, surpassed only by $47.7 billion spent in 2000.

“Mobility and interconnectivity require leadingedge process technologies, both at the fab level and in packaging, and are key factors for growth in equipment spending,” said Denny McGuirk, president and CEO of SEMI. “We expect capital spending to increase throughout the remainder of 2014 and into 2015.”

Growth is forecast in all regions except ROW in 2014 and all regions in 2015. Taiwan is forecast to continue to be the world’s largest spender with $11.6 billion estimated for 2014 and $12.3 billion for 2015. In 2014, North America is second at $7.2 billion, followed by South Korea at $6.9 billion. For 2015, South Korea is in second ($8.0 billion) in spending, followed by North America ($7.3 billion).

In 2014, year-over-year increases are expected to be largest for China (47.3 percent), North America (35.7 percent), South Korea (33.0 percent), and Europe (29.7 percent). Year-over-year percentage increases for 2015 are largest for Europe (47.8 percent increase), ROW (23.5 percent), Japan (15.6 percent), and South Korea (15.0 percent).

The following results are given in terms of market size in billions of U.S. dollars and percentage growth over the prior year:

SEMI 2014 Mid-Year Equipment Forecast by Market Region

By Equipment Type

yr-over-yr

yr-over-yr

 

2013

2014F

% Chg

2015F

%Chg

Wafer Processing

25.36

31.12

22.7%

34.81

11.9%

Test

2.72

3.06

12.5%

3.11

1.6%

Assembly & Packaging

2.32

2.52

8.6%

2.55

1.2%

Other

1.42

1.74

22.5%

2.12

21.8%

Total Equipment

31.82

38.44

20.8%

42.59

10.8%

By Region

yr-over-yr

yr-over-yr

 

2013

2014F

% Chg

2015F

%Chg

Taiwan

10.57

11.57

9.5%

12.27

6.1%

South Korea

5.22

6.94

33.0%

7.98

15.0%

North  America

5.27

7.15

35.7%

7.33

2.5%

China

3.38

4.98

47.3%

5.06

1.6%

Japan

3.38

3.65

8.0%

4.22

15.6%

Europe

1.92

2.49

29.7%

3.68

47.8%

ROW

2.08

1.66

-20.2%

2.05

23.5%

Total

31.82

38.44

20.8%

42.59

10.8%

Source: SEMI, July 2014; Equipment Market Data Subscription (EMDS)

Panasonic Flip Chip Bonder to Make North American Debut at Semicon

Panasonic Factory Solutions Company of America will debut a process-flexible flip chip bonder at this year’s SEMICON West as part of its high-speed, low-cost manufacturing solutions for highly advanced devices.

MDP-300, Panasonic’s latest bonder combines flip chip, thermosonic, and thermocompression bonding in a single, small footprint solution. It includes a synchronous head motion that enables the bond head and the dispenser to work in parallel. This unique process immediately increases bond quality and enhances overall throughput.

Supporting up to 300mm (12”) wafer substrates, it can even connect to a SMT machine. Visitors can see the MDP-300 up-close and learn more about Panasonic’s microelectronic solutions to dice, prep, bond, and more in Panasonic’s booth – #6251 at the Moscone Center in San Francisco on July 8 – 10, 2014.

Koh Young Unveils New HORUS 3D Measurement for Semiconductor Applications at SEMICON West 2014

Koh Young Technology and Koh Young America will effectively enter the semiconductor market with the unveiling of HORUS, Koh Young’s exciting new high-powered 3D measurement system, at SEMICON West in San Francisco. This innovative new inspection system, featuring breakthrough technologies, will be exhibited in Booth #5544 in the North Hall at the Moscone Center.

Koh Young’s HORUS, like the ancient Egyptian god it is named for, commands unexpected capabilities and special powers. For example, HORUS can measure the volume and dimension of virtually any type of substance, part, component, die, including very small features, very quickly and with astonishing accuracy. HORUS can also precisely measure transparent and shiny materials with high speed and accuracy, something that no other inspection systems currently available can do.

HORUS will help customers realize higher yields in semiconductor and advanced packaging applications by measuring objects that have been considered difficult to measure with appreciable speed, without sacrificing accuracy. But HORUS boasts speed faster than any other inspection machine out in the market today. HORUS’ primary advantage over current systems is that HORUS can measure virtually any object, and is particularly capable of precisely measuring transparent ones at production speeds. HORUS is the first machine with this capability to enter the market.

HORUS excels at semiconductor applications, but it is ideal for the full range of electronics manufacturing and assembly applications including board-level SMT. HORUS can measure shiny objects including flux and solder balls using its very wide Field of View (FOV) optics. The combination of wide FOV and quick inspection speed allows HORUS to be used as an in-line inspection machine. This will also facilitate lot testing on all products, rather than traditional sampling inspection due to slow inspection speeds.

In addition to the new HORUS, Koh Young will demonstrate its other patented full 3D Measurement-based inspection solutions for AOI applications in booth #5544. Koh Young’s patented technology enables inspection based on the 3D profilometric shape of semiconductor components in flip chip packaging at in-line speed.

Leti to Present Technological Platforms Targeting Industry’s Needs for the Future at Semicon West Workshop

CEA-Leti will highlight advanced technologies to meet future challenges for microelectronics designers and manufacturers at its annual LetiDay San Francisco workshop during SEMICON West in San Francisco, Calif., July 8-10.

In addition, Leti experts will present on lithography developments at the Semiconductor Technology Symposium and on silicon photonics at TechXPOT North on July 9.
This year, LetiDay San Francisco will focus on its technological platforms targeting future industry demands. The event will be from 5-7 p.m. on July 8 in the W Hotel Great Room, 181 3rd St.

Workshop topics include:

  • “CEA-Leti, a unique set of technical platforms and expertise to address future industrial challenges”. Leti CEO Laurent Malier
  • “M3D, a disruptive approach for further scaling”. Olivier Faynot, Devices Department Director
  • “New Non-Volatile Memory Technologies”. Luca Perniola, Advanced Memory Technology Laboratory Manager
  • “Generic MEMS Platform”. Philippe Robert, MEMS Department Director
  • “Cost-effective lithography solutions for the future”. Laurent Pain, Lithography Laboratory Manager, and
  • “Wafer bonding solutions for new applications”. Chrystel Deguet, Surface and Interfaces Department Director

Leti presentations:

Semiconductor Technology Symposium, 2:15-2:35 p.m., July 9, Moscone North, Hall E, Room 131. Leti Lithography Program Manager Serge Tedesco will present at the session on “Readiness of Advanced Lithography Technologies for HVM.” His topic is “Leti Lithography Programs Toward Cost-effective Solutions for 1X Nodes.”

TechXPOT North, 11:50-12:10 p.m., July 9. Leti Vice President of Strategic Partnerships Hughes Metras will present at the session on “Bringing Silicon Photonics to Market”. His topic is “Scaling the Silicon Photonics Toolbox for Optical Communications with Denser Integration Requirements.”

SKTA Innopartners to Host IoT Startup Showcase at SEMICON West

KTA Innopartners’ Innovation Accelerator will partner with SEMI, global industry association serving the nano- and microelectronics manufacturing supply chains, to host an Internet of Things (IoT) Startup Showcase at SEMICON West 2014 in San Francisco on July 10th, 2014.

SEMICON West is the annual tradeshow for the micro- and nano-electronics manufacturing industries. The SEMICON West 2014 IoT Startup Showcase will feature presentations from  highly-innovative, hand-selected IoT startups in four target market segments including; semiconductor and systems, telecom, enterprise/datacenter solutions, smart device related, and healthcare devices/bioinformatics.  So far, the lineup includes:

  • Light Engines—Daylight Visible Microdisplays for Augmented Reality” by Vincent Lee, Lumiode, Inc.
  • “Zero Power GPS” by Tom Willey, Pellucid GPS
  • “Breathe Smarter: Personal Air Quality Monitoring” by Brian Kim, ChemiSense
  • “Low Powered, Ultrasonic 3D-Sensing Solutions” by Michelle Meng-Hsiung Kiang, Chirp Microsystems
  • “IoT Platform for Connect Products” by Abid Hussain, Arrayant

“These are not mere heart-rate monitoring wristbands, but industry creating and establishment destroying startups,” says Angel Orrantia, Business Development & Marketing Director of SKTA Innopartners.

SEMICON West Startup Showcase Details

Date:  Thursday, July 10, 2014

Location:  SEMICON West TechXPOT Stage, Moscone Center, North Hall, San Francisco, Calif.

Time:  1:30 PM – 3:30 PM

Includes opening remarks from SKTA Innopartners Innovation Accelerator and six presentations from early to mid-stage startups.

Proof: R&D Investment Pays Off

Thomson Reuters announced its 2013 Top 100 Global Innovators the week of Oct 7., 2013, a list of the who’s who in innovation based on a series of proprietary patent metrics using its Derwent World Patents Index database.

The 2013 honorees comprise many of the likely suspects: AT&T, Apple, Google, Ford, L’Oreal and Microsoft, as well as some that aren’t so likely: Alcatel Lucent, Blackberry and Ericsson.

Annually, Thomson Reuters analysts look at all companies around the world that file patents and perform a deep dive analysis of those with 100 or more unique inventions over the last three-year period. They measure each patent holder according to the number of its unique inventions, its success of applications to grants, the global nature of its patent portfolio and its influence on future innovation.

The company also performs financial analysis on the Top 100, to see how they fare year over year, as well as compared to the S&P 500 stock index. Read More

Semiconductor Companies: You Need Digital Marketing, Now

Photo Credit: http://insights-on-business.comIn 2012, the top ten semiconductor companies locked up 51% of the business, with Intel leading that pack – with nearly 16% market share followed by Samsung with 10%. It was, of course a mixed bag of economic results – with different companies taking different strategies, some succeeding and some failing. Only 3 players showed growth.

Yet, when we look forward instead of back, we find that an industry that stands to equip not only the rest of the world with cellphones, tablets and even a few laptops. More importantly, there are smarter washers, dryers, thermostats, door locks, haptic shoes and countless other expected wearables that extend beyond watches. Solar and wind energy?  Medical applications? The Internet of Things (IoT) offers semiconductor companies an unprecedented opportunity for automated, intelligent interactions. And while the traditional electronics markets will continue to drive the lion’s share of the business, then next ten semiconductor companies whose market share ranges from 1 to 2% will be looking to step up their game. They will start to find those new entrants who will deliver smarter water pumps and filtration, smarter tennis racquets and lighting systems. Read More