SEMI Ushers in New Chairman, Board Members

Following his year as vice chairman, Richard (Rick) P. Wallace will officially take the reins today of SEMI’s International Board of Directors. The rest of the elected officials, announced yesterday by SEMI, will also take office today at the annual SEMI membership meeting during SEMICON West.

Wallace, president and CEO of KLA-Tencor Corp., succeeds J.C. Kim, representative director and chairman of Edwards Korea Ltd., who served as SEMI chairman for the past year. Taking over from Wallace as vice chairman is Douglas Neugold, CEO and president of ATMI, who was appointed by SEMI’s executive committee.

Also newly elected to the association board were Tetsuo (Tom) Tsuneishi, vice chairman of the board for Tokyo Electron Ltd. (TEL), and Yong Han Lee, chairman of the Wonik Group.

“On behalf of SEMI and its members, I am pleased to welcome Tsuneishi-san and Yong Han Lee to the SEMI International Board of Directors,” said Stanley T. Myers, president and CEO of SEMI. “We are also fortunate to confirm Rick Wallace and Doug Neugold as the new chair and vice chair. Their industry leadership and strategic insight will benefit SEMI, our worldwide membership and the industries we serve.”

In a release issued by SEMI yesterday, Wallace referred to the almost 40 years that the association has served to advance the semiconductor industry’s collective agenda. “Today, our industry has reached a critical juncture, and it will be increasingly important for SEMI to evolve,” he said. “SEMI must continue to meet the historical needs of the industry but must also look forward to address the emerging challenges and opportunities. It’s a very exciting time to be part of this critical organization.”

In accordance with the association’s bylaws, the following board members were re-elected: Wallace; Toshio Maruyama, chairman, Advantest Corp.; Osamu Nakamura, vice president and executive officer, Hitachi High-Technologies Corp.; Steve Newberry, president and CEO, Lam Research Corp.; and Eicke Weber, director, Fraunhofer-Institute for Solar Energy Systems.

Elected to a first term as ex-officio members were Kim; Robert Akins, chairman and CEO, Cymer Inc.; and Kosei Nomiya, chairman, Ultratech KK. Franz Richter, president and CEO, Thin Materials AG, was elected to a third term as an ex-officio member of the board.

SEMI’s 18 voting directors, four non-voting ex-officio members and nine emeritus directors represent companies from Europe, China, Japan, Korea, North America and Taiwan, reflecting the global scope of the association’s activities. The SEMI charter stipulates that individuals may be elected by the general membership as voting members of the board for a total of four two-year terms and non-voting ex-officio members for a total of three one-year terms.

— Aaron Hand, SEMICON West Daily News

Innovation Offers Solutions to a Transitioning Industry

The recipe of smaller, faster and cheaper is long gone. But what will take the place of this decades-old market trend? Bernard S. Meyerson, IBM fellow and vice president of innovation and global university relations at IBM, shared his predictions at yesterday’s keynote address titled “From Gigahertz to Systems to Solutions: Our Industry in Transition.”

Bernard S. Meyerson, IBM fellow and vice president of innovation and global university relations, IBM“You can’t scale down silicon forever,” Meyerson said to an audience that spilled out to the hallway, acknowledging that Moore’s Law was right but silicon manufacturing has its limits. “Scaling died five years ago, but new technologies like carbon nanotube transistors aren’t ready for prime time either. Plus, silicon can’t scale to these dimensions. There’s a difference between building a few high-performing chips and building 5 billion. This will be a recurring theme.”

The industry has transitioned to innovative drive performance with the introduction of multi cores, he said, but innovation will need to take additional forms. Meyerson used the example of 3D stacking as a creative way to increase performance, especially since the “speed of light is dreadfully and woefully slow.” By bringing memory and processing in extremely close proximity to each other, he said, you’re able to improve upon the limitations caused by the speed of light.

“Radical collaboration is another type of innovation,” he said, referring to companies that are combining intellectual and financial capital. Meyerson noted how unit process has gone out of favor as a testing method. Now, he said, companies band together to create facilities that cover everything from R&D to manufacturing, like the IBM Alliance near Albany, N.Y., where IBM plays a role in the advanced research consortium but shares efforts with other companies. He referred to the region as Silicon Valley East.

“People who think at the system level will dominate this industry going forward,” Meyerson said. He told attendees they need to consider what’s happening to IT as a whole. Whereas there were about 1 billion RFID tags in circulation in 2005, there are over 30 billion now. Meyerson predicted that devices producing data will continue to grow, and how these units connect and share information will increasingly affect the industry.

Right now, automated toll payment on U.S. thoroughfares provides data that documents where, when and by whom payment was made. This information, however, could also be used to predict traffic patterns. Or, on a grander scale, the data could optimize regional transportation systems. Systemic thinking like this can make a dramatic change in the quality of life, he said.

Stream computing is also becoming increasingly practiced, and Meyerson used the example of what affects citrus fruit prices. In the past, analysts could retroactively sift through weather reports, SEC filings and news releases to come up with reasons why orange prices spiked or plummeted. Now, however, that information is instantaneously available to aid in decision-making. Stream computing has other applications, like healthcare, where disease or illness can be detected far earlier just by noticing a small decline in blood pressure or a slight increase in body temperature, the beginnings of what could lead to something far more serious.

As for cloud computing, Meyerson wonders if there will be a trend where small companies use the technology, as those systems consistently run above 90% utilization, opposed to often underused local desktop and server systems.

At the beginning of his address, Meyerson introduced problems related to water shortages, transportation congestion, food shortages and overages, and healthcare issues. The common thread to these problems was that many result from either too little information on the subject or so much that you don’t know how to use it. He called for those who create and deploy technology to step up to solve these worldwide problems.

“Our industry has the ability to render solutions that are both economically and technically feasible,” Meyerson said.

— Arthur Patterson, SEMICON West Daily News

Illuminating the Path to High-Volume LEDs

The recent explosion of interest in the high-brightness LED (HB-LED) markets has mainly been driven by the need to replace cold cathode fluorescent lamps (CCFLs) in LCD backlighting. The change in the backlight source from CCFLs to HB-LEDs has been driven by the enhanced performance that LED lighting sources offer in end product feature sets such as enhanced contrast, reduced power consumption and thinner form factor designs that LED backlight units offer for televisions, notebook computers and desktop monitors. HB-LEDs, until recently, have been manufactured in relatively niche product volumes, but are now ramping to scales that are sparking a wave of interest by new entrants from the silicon semiconductor markets.

Device manufactures whose focus has traditionally been on the design and manufacture of silicon semiconductors (e.g., Micron and TSMC) have recently announced their intentions to enter manufacturing in the adjacent III-V market segment, with a view to moving to high-volume manufacturing for HB-LEDs. This raises some interesting questions as to how the approach to facilities and manufacturing favored by silicon semiconductor companies, which are notably different to those historically adopted by the III-V market, will evolve. Some of these differences are by virtue of the manufacturing techniques employed, while others are a function of the maturity of the manufacturing of the devices themselves in volumes and at economies that have not yet been required in the III-V market.

The strong demand for HB-LEDs has led to a worldwide shortage for the precursor materials used in the production of the epi chip within the LED, putting a strain on manufacturers as they seek to secure sources of these consumables to enable the aggressive manufacturing ramps. The challenge now faced by the industry is not simply how to fulfill the increases in the quantities of precursor materials required, but also how III-V manufacturing is adopted and scaled up to high volume to meet the dramatic increases in demand.

Advances in precursors

In addition to capacity expansions, advances in nitride precursors are helping to pave the way to the mass production of HB-LEDs. One of the largest and fastest growing areas in III-V materials, nitride-based HB-LEDs are predicted to see steady growth over the next few years, with the global market expected to be worth ~$20.2 billion by 2014 (Strategies Unlimited, February 2010).

Research into nitride-based devices has expanded potential solutions, enabling access to the entire visible spectrum, that is, to the output wavelength of devices being in the visible range of the electromagnetic spectrum. As a result, new applications in solid-state lighting are moving toward commercialization, adding further to the demand for increasing numbers of products.

If we are to transition successfully to high-volume manufacturing, then obviously the precursors employed to deposit the thin films required must be made available in significantly larger volumes to meet demand. Such increases in material quantity must be achieved without compromising quality and technical excellence maintained to ensure performance meets the correct standards, and proprietary equipment and handling protocols must ensure contamination is not an issue. Recent work has seen contamination levels in group III organometallic compounds have decreased from 0.5 ppm to 5 ppb, and advances such as this are what have made the ultrahigh-brightness devices that are driving the LED market forward possible.

Focus on delivery

To achieve the most cost-effective processing, the most reliable delivery systems are needed. The introduction of chemicals to the deposition system must be performed in a fully controlled manner with no contamination in order for users to develop the most efficient methods to manufacture competitive products. SAFC Hitech has undertaken extensive research into all aspects of the precursor delivery technology needed to offer complete solutions for customer applications, and the delivery in bulk of the required precursors has been addressed using a variety of proprietary techniques.

Production of group III precursors has ensured high-purity routes that can be scaled effectively to increase capacities. Robust chemistry has been combined with in-house plant engineering, resulting in plant capacities in the tons per year range. Delivery tools are now available that allow users to handle large volumes of chemicals in a safe, controlled fashion.

HB-LED production is required to move to high-volume manufacturing scales that are an order of magnitude greater than today to realize the promise of economies of scale and ensure the widespread mass market penetration of HB-LEDs in display and general illumination.

— Geoff Irvine, Vice-President, Business Development, SAFC Hitech

Posted in Uncategorized | 1 Reply

CEOs See Multi-Year Upturn, Despite Debt Worries

Executives said they see strong demand for equipment and materials continuing for several years, driven by burgeoning demand for smart phones, tablet computers and flat-panel televisions. On the technology front, companies are touting new equipment for through-silicon via (TSV) production.

This year’s SEMICON West is “the first time since 2007 that we can get together with smiles on our faces,” said Mike Splinter, CEO at Applied Materials Inc. “It comes after two pretty tough years.” The roughly trillion-dollar electronics systems market is on track for 8% growth this year, which Splinter said “is as big a growth rate as I can remember.”

Back in March of this year, Applied said nine new fabs are under construction or in the planning stages. At Tuesday morning’s meeting with press and analysts, Splinter said 14 new fabs are in the works. “This is a very positive trend as our customers gain more confidence. We see 100% growth in the wafer fab equipment market this year, the beginning of a multi-year cycle.”

Also, demand for refurbished 200 mm equipment is rebounding. Charles Pappas, in charge of Applied’s Global Services (AGS) operation, said during the downturn some device makers mothballed equipment used for 0.5 and 0.35 µm production. Those companies are now taking those tools out of warehouses, refurbishing them, and putting them back in service, largely for 0.18 µm and some 0.13 µm production.

Rick Hill, CEO at Novellus Systems Inc., said he believes the equipment industry is set to enjoy a multi-year upturn. “People are worried about Europe, but that hasn’t impacted chip demand,” he said. “What we need to watch is the emergence of the Chinese consumer.”

PC shipments are up 22% this year, said Tim Archer, executive vice president of sales and marketing at Novellus, adding that by 2014 about 80% of all netbooks and notebooks will be purchased by consumers in Asia. Over the next five years, smart phones and media tablets will grow sharply, Archer said, with smart phones accounting for 45% of all mobile phone shipments. That will translate into 85% annual bit growth for NAND flash. The PC refresh cycle, driven in part by adoption of the Windows 7 operating system, will push DRAM bit growth to a 55% annual growth rate for the next few years.

Randhir Thakur, executive vice president of Applied’s Silicon Systems Group (SSG), said he expects SSG to enjoy 140% year-on-year revenue growth this year, with more good times ahead. The smart phone boom will result in an incremental increase of 200,000 wafer starts per month for NAND flash, part of a global incremental increase of 800,000 wspm. Moreover, chips are getting more complex, with an increase of 32 steps for memory and 43 for logic, resulting in extremely strong demand for new equipment.

Both Applied and Novellus introduced a series of new products at SEMICON West aimed at TSVs. The movement to TSVs is being driven both by small form factor products, including smart phones and tablet PCs, and by high-performance systems, including networking equipment.

Future chips will have 5-6 million copper TSVs, according to Fusen Chen, executive vice president of semiconductor system products at Novellus. “Filling those vias without voids is a real challenge. Because of the thermal mismatch between copper and silicon, copper wants to pump out of the vias.”

Novellus, an early pioneer in copper interconnect deposition, aims to leverage its metal deposition experience with Sabre 3D, which Chen said employs a propriety pretreatment technology to accomplish void-free filling. The company also introduced a PVD system aimed a TSV copper sidewall coverage, depositing a thinner seed layer than competing PVD systems.

Applied Materials is ready with its Avila dielectric deposition systems targeted at TSVs and other wafer-level packaging applications, said Sesh Ramaswani, senior director of strategy for the TSV program at Applied. Because the adhesives that bond wafers together are damaged by high temperatures, Applied’s dielectric deposition system operates at <200⁰C.

“In the vias-last approach, customers have to guard against wafer shattering, and they need to protect the adhesive integrity of the bonded wafers by keeping temperatures relatively low,” Ramaswani said. Many of the TSV-enabled ICs will connect graphics processors and graphics memories, where bandwidth requirements are demanding, he added.

Ramaswani said he now counts 14 development lines for TSV processing using 300 mm wafers. While Applied has now largely filled out its own product portfolio for TSV processing, Ramaswani said the larger industry still faces a few areas that need work before TSV tool suites are ready to go. Wafer thinning remains challenging, and methods to bond and transport the extremely thin wafers also need further work, he said.

— David Lammers, SEMICON West Daily News

Bridging the Gap to Next-Generation Lithography Technology

Research and development is a cost-intensive process, and for chipmakers the cost is underscored by 12- to 15-year research pipelines and extreme market cycles. It is a highly competitive industry, driven by two-year product development cycles in which companies refresh the high end of their product lines every 18–24 months.

In today’s economic environment, technology decisions pose a high degree of investment risk — especially when a wrong bet can easily cost a company billions of dollars in time, resources and market valuation.

Some of the industry’s biggest risks are associated with the transition to next-generation technologies. This is especially true in lithography, where developing prototypes of in-demand tools can cost $200 million or more.

Cost concerns related to developing the next lithographic extension have left chip players uncertain of the future of next-generation lithography (NGL). There are four leading NGL candidates in the running for future process nodes — extreme ultraviolet (EUV), multi-electron-beam maskless, directed self-assembly, and nanoimprint lithography.

Currently, EUV lithography (EUVL) is considered the most feasible alternative technology because of the resolution afforded by its 13.5 nm wavelength and its cost-effective printing with single-exposure processing and high scanner throughput. However, substantial gaps exist for meeting the anticipated needs of EUVL production — specifically, the shortage of EUV-ready mask blanks, and the metrology tools necessary to detect defects on them.

The development of production-worthy metrology solutions is imperative to accelerating EUVL, and will give the industry a path for continuing to scale investments to the 22 nm half-pitch node and beyond.

Recognizing that a large-scale rejection of EUVL would negatively impact the industry, Sematech decided early this year to launch the EUVL Mask Infrastructure (EMI) partnership, an industry-wide consortium of EUV stakeholders, at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany, to fund the development of metrology infrastructure to support EUV mask development.

The EMI has currently seven industry partners plus Sematech. The program scope includes three major infrastructure development projects: aerial image metrology system (AIMS), blank inspection (BI) and patterned mask inspection (PMI), in which Sematech facilitates consensus building among the partners, providing crucial data and a discussion forum for reaching conclusive agreements.Since its launch, Sematech’s EMI initiative has drawn interest from seven semiconductor industry entities to partner in funding approximtely $150 million for infrastructure development of EUV mask blank inspection, defect review, and patterned mask inspection hardware.

The development of defect-free EUV masks has been and continues to be the top priority for Sematech’s lithography division. More recently, Sematech partnered with Carl Zeiss to develop the first-ever high-resolution EUV defect review tool, an EUV Aerial Imaging (AIMS) system, that will collectively support the needs of the semiconductor industry and EUV stakeholders.

The AIMS platform is a critical tool for the development and manufacturing of defect-free EUVL masks targeted at the 22 nm technology node and beyond, and Sematech is projecting that it will be commercially available in early 2014, in line with the expected introduction of EUV lithography into high-volume manufacturing by 2015.

Lithography is now at a critical juncture; the industry needs to make a giant leap into a new technology direction to overcome the plateauing value of the current technology. Few companies can do it all on their own — so orchestrated collaboration is becoming a core discipline, and a key differentiator. The new collaborations in EUV are based on the premise that EUVL is not a way for companies to gain competitive advantage over one another. Instead, it’s a technology that must be addressed collaboratively across the entire supply chain, or it won’t be available to anyone.

Collaboration is key to save R&D expenditures — often years and millions of dollars — and support the development of next-generation lithography, the foundation of nanoelectronics and other emerging technologies.

— Sematech Lithography

Industry Leaders Weigh in on Present and Future State of Industry

Five top insiders shared their perspective on topics ranging from supply chains to government regulation at yesterday afternoon’s well attended Executive Summit. The panelists included Keith Barnes, chairman, CEO and president of Verigy; Stephen Newberry, president and CEO of Lam Research; Thomas Sonderman, vice president, manufacturing systems and technology at GlobalFoundries; Randhir Thakur, executive vice president, general manager of silicon systems at Applied Materials; and Rick Wallace, CEO of KLA-Tencor. Jonathan Davis, president of SEMI North America, moderated.

The market at a glance

The session started off with each panelist describing his take on the current state of the industry. “We’re seeing a considerably better year than last in the non-memory side and for systems not related to memory,” Barnes said. “We’re still going to need another year for increased sales in memory.”

Newberry feels there is too much emphasis on percentage increases over a single year and instead prefers to hear about average growth, or declines, over a two-year period. “This is a foundry catch-up year,” he said.

Thakur joked how it must be a good year, since a customer (GlobalFoundries’ Sonderman) was sitting on the panel with them. Thakur concurred that the memory market was lagging and Wallace noted that in spite of recent consolidations, there has been expansion in foundry space. “The challenge for all of us,” he said, “is investment in capital and R&D.”

Sonderman saw manufacturing as cautiously optimistic. That said, he told how GlobalFoundries is building a new facility in Malta, N.Y.

Witness to change

When asked what changes he’s seen in the industry, Wallace said he’s afraid that key players are unlearning the past. The key change Thakur noted was the focus on supply chains and the increased pressure on that mechanism. Barnes concurred, recommending a tight relationship be maintained with supply chains, saying, “While the front end of the supply chain is volatile, the back end is like a bullwhip.”

Wallace agreed with Barnes on how the bullwhip effect can harm his suppliers. Wallace also wasn’t convinced that consolidation in the industry would drive rationality. “It only takes two guys to not be rational,” he said.

Macroeconomic issues concerned Sonderman, but he believes the ability to ramp up or slow down will help his company.

What’s next?

Newberry said 3D IC is going to come to full fruition when he was asked about innovation. Plating was so important to Thakur and Applied Materials that they acquired plating technology instead of pursuing a collaborative effort.

Sonderman sees the most manufacturable next generation of lithography as being the one that will win. However, Wallace said there will be multiple solutions to lithography.

Diversification

Davis asked if there will be enough concentration on R&D as companies diversify. Wallace said all companies can invest in multiple opportunities, but he was concerned that talent might be hard to distribute. “Losing talent will slow us down,” he said.

Sonderman agreed, as did an applauding audience member, saying that diversification issues come into play when trying to get good people. “It’s harder to get people to rejoin manufacturing,” Sonderman said. “I fear we’ll run out of smart people to run our factories here.”

Market drivers

“Are we doing enough as a country or an industry to diversify us enough for a growth situation?” Barnes shot back when asked about growth trends.

Newberry was concerned about a company not losing sight of its shareholders and felt too much time was being spent dealing with regulation and bureaucracy. Sonderman concurred, saying that there is over-regulation for U.S. companies and that more manufacturing needs to take place here. Thakur took a more macro approach, saying that as long as products and people can ethically flow in and out of countries, the industry is in good shape.

Wallace felt the U.S. government was apathetic about losing business to other countries because of unskilled domestic workers, especially in light of the deteriorating state of K–12 education. “We’re systematically driving high-tech away,” he said. “There’s no silicon left in Silicon Valley.”

— Arthur Patterson, SEMICON West Daily News

Manufacturers Use Creative Solutions to Reinvent Fabs

Even as leading-edge chipmakers lay out millions of dollars for the latest and greatest technologies to squeeze out new levels of technology development, a good portion of the semiconductor industry is focused on a squeeze of a different kind, finding new life in older fabs and equipment to survive in a changing market. Fab 2.0, which took place yesterday at TechSITE South, explored new opportunities for existing fabs, with some speakers describing their efforts to leverage older assets for emerging markets.

Beginning in 2007, Freescale Semiconductor began reinventing its Oak Hill facility in Austin, Texas, turning it from a fab making five to 10 high-volume products and working with about 20 active mask sets to one making a wide range of low-volume products, using more than 250 active mask sets.

Chris Magnella, director of operations, Oak Hill fab, FreescaleIt’s been a tough couple of years making the transition, according to Chris Magnella, director of the fab’s operations, who described some of the technical challenges as it transitions to all the new products it will begin producing in 2011. “It’s really stretching the limits of what we do, though it has nothing to do with printing 22 nm,” he said.

What it does have to do with is getting existing manufacturing systems to support very high-mix, low-volume production without reinvesting significant amounts of resources. And what Freescale could not afford to do, Magnella said, is invest $100 million to build out leading-edge manufacturing capabilities. “We had to look for things that fit our equipment set, and our capabilities,” he said.

Although MEMS looked like a good investment because of the growing market, the concern was that the entire toolset would have to be replaced.  “We can’t go out and buy millions of dollars of tools,” Magnella said. “The MEMS business just doesn’t support that.”

Customers that Freescale works with in the nanotube world have requirements that are also pushing the boundaries of the existing tools, Magnella noted. To reinvest, though, would cost upwards of $5 million, and customers just won’t pay for that.

Enter a standard Maytag dishwasher picked up from Home Depot and turned into a MEMS box washer. That’s just one of the effective innovations that Freescale’s engineers developed to address manufacturing needs in the fab. “We’re finding ways to spend significantly less money through innovation,” Magnella said.

In 2007, Freescale was a relatively traditional engineering-maintenance-manufacturing organization. A shift to a Lean Manufacturing culture ultimately was what will likely enable the chipmaker to pull it off by 2011. “The Lean Manufacturing culture was tasked with freeing up and creating engineers. We needed a lot of engineers to pull this off, and we weren’t going to hire a lot of people,” Magnella said. Although Freescale is spending money, he said, it’s primarily on areas where the company can innovate existing tools or find enabling tools.

Work has also included extensive in-house refurbishing of Canon stages. With more than 50 Canon photolithography tools already in the fab, Freescale has been determined to keep them running for several more years. “We’re not going to throw away all those tools,” Magnella said. The technicians are generally able to get the tools back to as-new condition, he added.

Western Digital, which took over from Seagate recently as the world’s largest supplier of hard disk drives (HDDs), has also found itself in a position of prudent investments by relying increasingly on refurbished equipment — not developed in-house, but procured through a growing second-hand market.

HDD volumes are expected to increase ~20% this year, with double-digit year-over-year growth over the next five years, so the industry is facing significant increases in capital equipment spending over the next several years, according to Eric Pokorny, director of procurement and contracts at Western Digital.

To continue areal density growth and maintain a competitive position against flash memory technologies, HDD companies will invest in new technologies and manufacturing processes, Pokorny said. The age of some of the capital equipment in the fab is a major concern, he added, noting that perhaps 75% of the tools in the wafer fab are five to 10 years old, and will have to be phased out in the coming years. Some sputtering machines and other manufacturing equipment are more than 15 years old and are not as efficient as current tools.

Pokorny is asking from the tool suppliers that they continue to invest in technology-enabling equipment, that they be more competitive on initial capital costs, and that they extend the life of their equipment. But Western Digital is also dabbling more in used equipment, seeing significant benefits there.

The market for refurbished, second-hand semiconductor manufacturing equipment has traditionally been difficult to gauge, Pokorny said, noting that it was a semi-secret market that was “unglamorous at best, and unsavory at worst.” But that negative connotation has been going away, he said.

Particularly as a result of the latest economic downturn, there has been a glut of used equipment thrown into the market that is newer than what the company already has in its fabs. Also, more reputable companies are joining the refurbishing game. “Good companies refurbishing makes it more palatable for us,” Pokorny said.

There are several risks related to buying pre-owned equipment, however. When equipment is purchased on the open market, it’s typically sold as is, and where is. The system must be de-installed, crated and shipped, all of which incurs significant costs, and the condition of the tool might not even be known. After receiving a secondary market used tool, the system must be assembled, reinstalled and qualified. It’s not uncommon at this point to find numerous units requiring replacement, Pokorny said, potentially incurring hundreds or thousands of dollars in repair costs. And at that point, the OEM is not too keen to provide the necessary parts.

Despite all of these concerns, however, Western Digital has been very happy overall with its experiences buying used equipment, and will likely continue with this strategy for the foreseeable future, Pokorny said. “Why should we buy new equipment to do processes that used toolsets can do just fine?”

— Aaron Hand, SEMICON West Daily News

OEM Group Adds AlN Foundry Services to Offerings

OEM Group Inc. (Gilbert, Ariz.), which provides new and remanufactured equipment to manufacturers of silicon, MEMS, LED, RFID, power and photovoltaic devices, has added high-quality AlN foundry services performed in its applications lab, beginning immediately.

Included in the recent acquisition of the thin films and PVD product lines from Tegal Corp., the OEM Group foundry services use the SFI Endeavor AT PVD platform to produce piezoelectric AlN films on a variety of 4-6 in. wafers used in SAW, BAW, FBAR and MEMS. OEM Group also participates in customer R&D projects by helping to optimize devices and technology, and developing customized deposition processes.

“Performance of AlN-based electro-acoustic devices such as BAW and FBAR filters, oscillators and resonating sensors is substantially tied to thin-film technology,” said Valeriy Felmetsger, PVD process development manager for OEM Group. “As of today, reactive magnetron sputtering is a method of choice enabling formation of AlN films with a high degree of c-axis texture and thus a strong piezoelectric response.”

Wayne Jeveli, president of the company, noted that foundry services were a logical next step given OEM Group’s infrastructure, equipment and expertise.

Q0VBLUxldGkgQnVpbGRzIDMwMCBtbSBSJkQgTGluZSBmb3IgM0Q=

CEA-Leti (Grenoble, France) has opened a complete 300 mm fab extension dedicated to 3D integration applications. Final equipment installations will continue through to the end of this year with an inauguration event planned in January.

The integration line includes lithography, metallization, deep etching, dielectric deposition, wet etching and packaging tools that will be available for Leti’s customers and partners around the world. The line will complement Leti’s 3D integration toolbox, which includes through-silicon vias (TSVs), alignment, bonding, grinding, thinning, planarization, bumping, micro-inserts and design capabilities, and mixed-signal IC applications.

The new line will expand Leti’s 3D capabilities, and will allow the research center to offer heterogeneous integration technologies to customers on 200 and 300 mm wafers.

SoloPower, eIQ Partner on Integrated Solar Array

San Jose companies eIQ Energy Inc. and SoloPower Inc. said yesterday they will offer an integrated solar energy product package that combines eIQ Energy’s Parallel Solar array wiring technology and SoloPower’s lightweight flexible CIGS photovoltaic modules.

The combined offering allows purchasers to obtain a single price quote for a solar array’s entire electrical system, including PV modules, custom pre-fabricated wiring, and eIQ Energy’s vBoost DC-to-DC converter modules, which enable parallel wiring. The system has been pre-verified for interoperability.

The integrated MPPT and elimination of panel interactions also provides improved power density and energy harvest.  The Parallel Solar technology also cuts system costs by eliminating significant quantities of cabling and combiner boxes, and reducing the labor needed during system installation.

In separate news, eIQ Energy announced it will offer custom pre-installed wiring harnesses with its vComm communications module and vBoost DC-to-DC converter through a partnership with Shoals Technologies Group (Portland, Tenn.).