Edwards Brings the Future of Sub-Fab Technology to SEMICON Europa 2014

Edwards Limited will offer presentations on emerging sub-fab technologies at SEMICON Europa, taking place 7-9 October 2014, in Grenoble, France.

Edwards’ Mike Czerniak, Product Marketing Manager for Exhaust Gas Management, will present a paper titled, “450mm Innovations and Synergies for Smaller Diameters,” that will review the implications of new processes for exhaust gas treatment and the options for managing these challenges.

Steve Cottle, Senior Product Manager for Edwards’ Integrated Systems, will present at the Tech Arena on “Integrated Sub-fab Equipment Solutions – the Key to Manufacturing Peace of Mind.” Cottle will describe how integrated sub-fab exhaust management solutions enhance process operation and reduce total cost-of-ownership in high-volume manufacturing.

“Cost-effective, high-volume manufacturing of 450mm wafers, and 10- to 7-nm devices, will introduce new materials and processes, creating new challenges for our customers. Over the many years that we have participated in the semiconductor industry, we have acquired a solid understanding of manufacturing processes and the sub-fab best practices required to support them. We leverage that knowledge every day as we work to develop innovative solutions to address the next round of challenges. These papers and presentations will provide insight to some of the newest solutions that our R&D engineers have been working on,” states Ralph Loske, Edwards’ European Sales Director.

Standards Industry Leaders Honored at SEMICON West 2014

SEMI honored eight industry leaders for their outstanding accomplishments in developing standards for the microelectronics and related industries. The annual SEMI Standards awards were announced at the SEMI Standards reception held during SEMICON West 2014.

SEMI International Standards Excellence Award

The 2014 SEMI International Standards Excellence Award, inspired by Karel Urbanek,is the most prestigious award in the SEMI Standards Program. Yesterday, it was awarded to David Bouldin of Fab Consulting. As co-chair of the North America Metrics Technical Committee Chapter since 1996, Bouldin has participated in the improvement and development of several key equipment maintenance standards and multiple Cost-of-Ownership (COO) metrics.

Under Bouldin’s leadership, one of the most used and valued Standards, SEMI E10Specification for Definition and Measurement of Equipment Reliability, Availability, and Maintainability (RAM) and Utilization, has undergone significant revisions to provide a set of unified RAM metrics for the latest equipment and control architectures.  He co-led the Equipment COO Task Force to successful revisions of E35Guide to Calculate COO Metrics for Semiconductor Manufacturing Equipment and E140Guide to Calculate COO Metrics for Gas Delivery Systems and is active in several other Metrics task forces.

Bouldin’s technical editing skills and deep knowledge of semiconductor manufacturing have contributed to his effectiveness.  His leadership and technical skills helped move SEMI Standards forward.  Bouldin has more than 40 years of experience in the semiconductor industry, the majority of the time at Texas Instruments where he was a global engineering project manager. In 2007, he established Fab Consulting.

In addition to the 2014 SEMI International Standards Excellence Award, the recipients of four major North American SEMI Standards awards were also announced:

The Merit Award

The Merit Award recognizes Standards Program Member major contributions to the semiconductor, PV, and related industries through the SEMI Standards Program.  Award winners typically take on a very complex problem at the task force level, gain industry support, and drive the project to completion. This year, three Program Members were presented with the Merit Award.

Previous research demonstrated that opportunities exist to reduce utility consumption for production equipment while wafers are not being processed.  While production equipment is capable of reduced utility consumption, implementation has been slow due to a lack of a standard.  Through the Energy Saving Equipment Communication Task ForceDaniel Chlus (IBM)Mike Czerniak (Edwards), and Lance Rist (RistTex) led the development of SEMI E167-1213Specification for Equipment Energy Saving Mode Communications (EESM) and E167.1Specification for SECS-II Protocol for EESM Communications. The purpose of these specifications is to reduce energy consumption in production equipment

Rist was also instrumental in the development of SEMI E168Specification for Product Time Measurement and E168.1Specification for Product Time Measurement in GEM 300 Production Equipment. Developed under the Wait Time Waste Metrics and Methods Task Force, these specifications provide the semiconductor industry with support in the identification and elimination of product time waste in the product life cycle within the factory.

The Leadership Award

The Leadership Award recognizes Program Members’ outstanding leadership in guiding the SEMI Standards Program. Since 2009, Matt Fuller (Entegris) has chaired the NA Physical Interfaces & Carriers (PIC) Technical Committee Chapter and has been instrumental in the development of wafer carrier standards for 450mm wafers including SEMI E158Mechanical Specification for Fab Wafer Carrier Used to Transport and Store 450mm Wafers (450 FOUP) and Kinematic Coupling. Prior to his committee chairmanship, Fuller was actively involved in various PIC task forces for several years including the International 450mm Physical Interfaces & Carriers (“450 IPIC”) Task Force. He has dedicated countless hours addressing industry needs with other PIC committee members, overseeing activities, and actively leading the committee. A great supporter of SEMI Standards and an enthusiastic leader, many colleagues have sought his guidance and assistance.

The Honor Award

Given to an individual who has demonstrated long-standing dedication to the advancement of SEMI Standards, Lori Nye (Brewer Science) was recognized for her valuable contributions and continued dedication to the Standards Program. For over 20 years, Nye has served in various leadership positions, critical to the advancement of the SEMI Standards process. Today, Lori chairs the North America PV Materials Technical Committee Chapter, which is responsible for developing several SEMI PV Standards. She is also a member of the Audits & Reviews (A&R) Subcommittee to the International Standards Committee (ISC) which performs an audit function on adjudication or approval procedures taken by chapters. Finally, Nye is also a Member-at-Large in the ISC.

The Corporate Device Member Award

The Corporate Device Member Award recognizes the participation of the user community and is presented to individuals from device manufacturers. This year, two Program Members were presented with the Corporate Device Member Award for their contributions to the Silicon Wafer standardization efforts — Pinyen Lin (G450C) and Kwangwook Lee (G450C).  As an active member of the International Advanced Wafer Geometry Task Force, Lee was instrumental in the development of SEMI Draft Document 5654,  which aims to increase the fixed quality area (FQA) for 450mm wafer, minimizing the edge exclusion from 2mm to 1.5mm for yield improvement.  As a key member of the International 450mm Wafer Task Force, Lin played a critical role in the development of SEMI Draft Document 5604 which proposes significant revisions to the SEMI M1:Specification for Polished Single Crystal Silicon Wafer and M20Practice for Establishing a Wafer Coordinate System standards to implement the 450mm notchless wafer.

Both Lin and Lee organized and managed a group of industry technologists including IC makers, tool suppliers, and sensor manufacturers. They led collaboration efforts in the standards development process where their dedicated commitment, broad processing knowledge, and tenacity were critical to the rapid development of the document and efficient progress of the committee.

The SEMI Standards Program, established in 1973, covers microelectronics process equipment and materials, from wafer manufacturing to test, assembly and packaging, in addition to the manufacture of photovoltaics, flat panel displays and micro-electromechanical systems (MEMS). Over 4,500 volunteers worldwide participate in the Program, which is made up of 21 global technical committees. Visit www.semi.org/standards  for more information about SEMI Standards.

SKTA Innopartners to Host IoT Startup Showcase at SEMICON West

KTA Innopartners’ Innovation Accelerator will partner with SEMI, global industry association serving the nano- and microelectronics manufacturing supply chains, to host an Internet of Things (IoT) Startup Showcase at SEMICON West 2014 in San Francisco on July 10th, 2014.

SEMICON West is the annual tradeshow for the micro- and nano-electronics manufacturing industries. The SEMICON West 2014 IoT Startup Showcase will feature presentations from  highly-innovative, hand-selected IoT startups in four target market segments including; semiconductor and systems, telecom, enterprise/datacenter solutions, smart device related, and healthcare devices/bioinformatics.  So far, the lineup includes:

  • Light Engines—Daylight Visible Microdisplays for Augmented Reality” by Vincent Lee, Lumiode, Inc.
  • “Zero Power GPS” by Tom Willey, Pellucid GPS
  • “Breathe Smarter: Personal Air Quality Monitoring” by Brian Kim, ChemiSense
  • “Low Powered, Ultrasonic 3D-Sensing Solutions” by Michelle Meng-Hsiung Kiang, Chirp Microsystems
  • “IoT Platform for Connect Products” by Abid Hussain, Arrayant

“These are not mere heart-rate monitoring wristbands, but industry creating and establishment destroying startups,” says Angel Orrantia, Business Development & Marketing Director of SKTA Innopartners.

SEMICON West Startup Showcase Details

Date:  Thursday, July 10, 2014

Location:  SEMICON West TechXPOT Stage, Moscone Center, North Hall, San Francisco, Calif.

Time:  1:30 PM – 3:30 PM

Includes opening remarks from SKTA Innopartners Innovation Accelerator and six presentations from early to mid-stage startups.

ClassOne Equipment to Unveil Polaris™ Controller at SEMICON West

PLC Controller Breathes New Life into Semitool® 302 Based Platforms

ClassOne Equipment announced today that it will be demonstrating its all-new PLC controller upgrade for its remanufactured Semitool® product line at SEMICON West.  The new PolarisTM control system has been developed as a field-upgradeable replacement for the antiquated Semitool 302 control system.  Polaris features intuitive state of the art electronics with fully configurable software for real time control, data-logging, and communications, driven by an intuitive graphical user interface, designed and developed by former Semitool veterans at ClassOne Technology, a wholly owned subsidiary of ClassOne Equipment.

The new Polaris PLC upgrade offers the following benefits and advantages:

– Significantly extends the life of existing 302-based systems (SAT, SST, Equinox® and LT-210C), significantly reducing fab CAPEX.

– Brand new Quad-Core CPU with Windows®, replaces the old, obsolete 486-based processor and proprietary software.

– Flexible reconfiguration of the system, such as adding tanks and changing pumps.

– Dual hard drives and RAID configuration for hot-swapping and backup for increased uptime.

– Ethernet network connectivity allows remote data logging, real time chemical consumption monitoring, predictive maintenance functions, email notifications and reporting.

– Reversible cassette rotation for enhanced process capability

– Integration of off the shelf electronics with fully configurable software.

“Semitool’s Spray Solvent and Spray Acid tools have been the work-horses of the industry for decades with hundreds of units installed around the world.  Because of this, refurbished tools continue to be highly sought after,” said Byron Exarcos, President of ClassOne Equipment.  “The Polaris control system brings all the advantages of a modern control system to a time-tested platform while maintaining and enhancing the key elements of performance and cost-effectiveness.  Not only does this upgrade offer additional and useful features to the user, it eliminates the concerns over the age of a core component in tools based upon the aging Semitool 302 controllers.  This competitively priced, critical update will breathe new life into an industry standard platform and extend its useful life for years.”

The Polaris controller will be highlighted in ClassOne’s booth (#441) at SEMICON West in San Francisco from July 9th through 11th where it will be installed in a Semitool Spray Solvent Tool.  The Polaris will be offered as a stand-alone field upgrade and as an optional upgrade for tools remanufactured by ClassOne Equipment.

About ClassOne Equipment

ClassOne Equipment (http://ClassOneEquipment.com) is a recognized leader in the business of equipment refurbishment with over 2,500 tools installed world-wide in four key markets:  Semitool Wet Chemical Processing, SPTS Plasma Etching & PECVD, Suss/EVG Mask Aligners, and KLA-Tencor Wafer Inspection.   Known for superior quality 75 to 300mm equipment, customer-first service, and its 30-day unconditional return policy, the ClassOne team has been winning and serving customers in the traditional semiconductor and emerging technology sectors for over 10 years.

For more Information Contact:

Byron Exarcos

ClassOne Equipment

770.808.8708

HORIBA Announces New HD-960L Dissolved O2 Monitor

 HORIBA is proud to introduce the HD-960L Dissolved O2 monitor for HF and other acids (e.g. Citric Acid). Leading edge device manufacturers are increasingly using low O2 chemicals to prevent galvanic corrosion on Cu and other metals in both BEOL and FEOL applications. The HD-960L utilizes Membrane Polarography technology to provide an effective method to monitor the O2 level present with sub ppb level resolution.