HORIBA Company Profile

The HORIBA Group of worldwide companies provides an extensive array of instruments and systems for applications ranging from automotive R&D, process and environmental monitoring, in-vitro medical diagnostics, semiconductor manufacturing and metrology, to a broad range of scientific R&D and QC measurements. Proven quality and trustworthy performance have established widespread confidence in the HORIBA Brand.

Supporting the evolution of smart life styles with high-precision technologies, HORIBA Semiconductor  offers a comprehensive range of control and analytical solutions to improve yield, increase throughput, and add value to the Semiconductor, Flat Panel Display (FDP), Light Emitting Diode (LED),  Photovoltaic (PV) and related manufacturing and research industries.

From advanced high-accuracy Fluid Delivery technology to such diverse applications as wet process, dry process, lithography metrology and process monitoring, HORIBA is the global leader in bringing scientific expertise and industry know-how together to produce critical technologies required for tool matching, recipe creation, and the final goal of maintaining a stable process by using advanced process control.

HORIBA’s special blend of global companies, technologies, and culture are bringing creative solutions to the problems of designing the next generation processes that have been essential to the advancements made in semiconductor technology.

Inspired by our unique motto, “JOY and FUN,” we focus on social responsibilities by building state-of-the-art products for scientific advancement; especially for protecting health, safety, and the environment. “HORIBARIANs,” the HORIBA employees all over the world, are looking forward to working with you and providing the best analytical and process control solution for your needs. Please visit us at SEMICON West 2013, booth 1819.

 

Intelligence in Motion Planning as an Effective Approach to Enhancing Robot Performance

by Zlatko Sotirov, Ph.D., Senior Vice President of Engineering and Chief Scientist, Genmark Automation, Inc.

Zlatko Sotirov, Ph.D., GenmarkQ: In last year’s SEMICON West Daily News, we discussed the topic of “Bringing Intelligence to Substrate Handlers”. How do you see the robot intelligence a year later?

A: My vision about robot intelligence is consistent, and everything we said last year still holds. We made significant steps in making our robots “smarter” and able to better serve various automation needs in fabs, including implementing self-teaching, enhanced sensitivity and adaptivity to payload changes, automatic diagnostics, etc. But it seems to me that most noticeable were Genmark’s achievements in the area of intelligent motion control with an emphasis on motion planning.  Therefore, I would like to touch on key topics in the area of motion planning as well as on emerging approaches for designing robot motions in the real-world semiconductor automation environment. 

Q: Why do you consider the advances in motion planning important?

A: Everyone talks about performance enhancement, and the first and simplest thing that comes to mind is to increase the velocity and the acceleration of the robots. This in fact gives some results but not necessarily the best ones, and usually we pay a high price (increased component wear, reduced lifetime, more frequent maintenance, higher stress to the manipulated object, etc.). Therefore, I always consider this approach last, after exhausting all other more-intelligent approaches to reducing cycle time rather than speeding up the robot. In the end, if we manage to make the robot move in smoother paths that excite the robot dynamics less, there is nothing more natural than to increase the velocity and to gain additional performance. Again, this should be last on the list, after everything else has been explored. Picture it: one is trying to select the most powerful and expensive motors, paying a premium price, running these motors at high speed and through intensive and energy consuming transition periods (acceleration / deceleration phases) and at the same time unnecessarily interrupting / pausing the motion at the transition points between adjacent motion paths, provided the last are not smoothly blended together. The time gained by increasing the performance of the motors is easily lost as idle time. Of course, it is simpler that way, but way less effective and more expensive. Investing in the design of intelligent motion planning algorithms, while taking into consideration the specifics of the automation tasks in semiconductor material handling, is definitely the best investment for steadily gaining tool performance. Focusing on motion planning, we are not underestimating the importance of the high-performance direct-drive actuators and controls technologies to enhancing robot performance. In fact, the  opposite is true – these technologies demand intelligently planned motions, since there are no gears to “hide” the inertia changes and the variable dynamic loading due to motion along non-“smooth enough” paths.

Q: Staying on the motion planning subject, would you further elaborate on what in particular has been developed at Genmark Automation and what are the benefits?

A: Genmark’s first significant contribution to motion planning dates back to 1997 when we developed and implemented a unified approach to smooth trajectory planning based on high-order Bernstein-Bezier polynomials. This development was done in support to the newly introduced “Yaw” axis robots with motion dexterity which had to be adequately planned. A few years later Genmark introduced a new motion blending approach to composing smooth multi-segment trajectories in the N-dimensional space (N stands for the number of axes), eliminating unnecessary stops by overlapping the adjacent trajectory segments. The performance gain from overlapping adjacent trajectory segments and inherent motion smoothness was in the range of 20-30%. This was a significant performance benefit, but there was still room for optimizations. The approach had its own limitations. One of them was the inability to change the target position “on-the-fly” without this causing discontinuity at a velocity and acceleration level. Changing the target position “on-the-fly” was demanded by new applications requiring target correction depending on the offset of the manipulated object with respect to the end-effector, which was identified (measured) during the motion. A new motion planning algorithm addressing the above requirements has been recently developed and implemented. The benefit was, again, performance – there was no need to stop the motion after acquiring the wafer offsets and slow down the robot, since the motion smoothness was not affected by the “on-the-fly” pre-planned motion.

Another development in the same category was the design of smooth motion paths with a number of segments and a target position specified during the motion. In many material handling applications there is a need for changing the final destination of the wafer delivery during the motion, for the sake of gaining performance. Normally, if the equipment that is supposed to process the wafer is not ready in the beginning of the motion, the robot waits until the equipment becomes ready, and then starts its motion. What typically happens is that in the great majority of cases, the equipment becomes ready during the course of motion, if the motion was initiated without any wait. Therefore, the robot motion can start immediately, and if the robot receives “equipment ready” confirmation prior to reaching the approach position of the destination, it continues its motion; otherwise it stops at the approach position of the destination. The benefit of the motion planning flexibility is obvious – the robot doesn’t unnecessarily wait at start and goes to destination without stops, provided the equipment becomes ready during motion.

 Lastly, I would like to mention another advanced approach to motion planning, related to the design of smooth motion paths, composed of multiple curves with simultaneously running motion profiles. This development allowed us to significantly reduce the wafer swap-time, which has always been considered of critical importance to the performance of the semiconductor processing tools. It was determined that to optimally perform wafer-swap, dual-arm robots have to simultaneously perform (overlap) three moves compliant with the mechanical constraint imposed by the processing equipment.

 

Q: What else rather than advanced motion planning is required to achieve optimal robot performance?

 A: Motion planning is very important but is not sufficient to achieving the control goal. Planning and execution always go together. Naturally, the better the motion plan, the easier and faster the robot will follow the path, achieving the prescribed accuracy and desired dynamic behavior of the manipulated object. Robots are complex, nonlinear dynamic systems and therefore their dynamics have to be taken into consideration when building the control algorithms. If there are modeling errors or uncertainty coming from unstructured operating environment, they all have to be taken into account by the control system. As we are advancing in motion planning, we recognize the importance of the dynamic control and at the same time realize that building an accurate dynamic model under the limitations imposed by the real manufacturing environment is not an easy job. Therefore, Genmark Automation focuses significant efforts on incorporating robustness and adaptiveness into our new generation motion control systems.

 

Q: How would the advances in motion control benefit 450 mm wafer handling?

A: Naturally, the new object would impose new requirements to the material handling systems, and I wouldn’t say because of the larger wafer diameter, but because of the increased weight of the wafer itself, the weight of the bonded substrates and the weight of the fixtures (e.g. bonding fixtures) the robot may be expected to carry. In order to deliver the same or better performance as compared with the 300 mm case, the motion control system should be able to compensate for the deflection of the arm including the end-effector and for the deflection of the manipulated object. Therefore the motion paths will look differently in the vertical direction and will most probably consist of multiple segments blended together in a smooth curve, running through control points specified by the user or determined by external sensors. On the other hand, the enhanced robot sensitivity achieved through MEMS (accelerometers, inclinometers, embedded in the arm) would allow the control system to identify the payload and the dynamic properties of the manipulating system and to apply advanced deflection compensation techniques in order to achieve superior performance in compliance with the constraints imposed on the motion of the manipulated object. 

 

Zlatko Sotirov, Ph.D., is Senior Vice President of Engineering and Chief Scientist, Genmark Automation, Inc. Dr. Sotirov has 15 years academic experience in robotics and control, has made scientific and scholarly contributions in the field of robotic and control (parallel manipulators, robust and adaptive motion control, programming …), has 19 years of experience in semiconductor automation, and has been holding key developer and engineering management positions at Genmark Automation since 1994.

 

 

HORIBA Announces New PR-PD2HR Reticle and Mask Particle Detection System

HORIBA’s PD series has attained a solid reputation in semiconductor fabrication for its stability and high uptime over long periods.  Inheriting a transfer system that has proven to provide stable, high performance and superior throughput, the PR-PD2HR now offers the most sensitive detection in the standard series with exclusive signal processing that can detect particles as small as 0.35 µm.

Compatible with any stepper case, the PR-PD2HR features a multi-stage sorter that can handle up to 10 cassettes and supports a number of communication protocols. True to its colors as a member of the PD Series it boasts high capability over a broad range of general reticle and mask particle detection tasks as well as being able to evaluate glass or pellicle surfaces with high throughput. The PR-PD2HR will prove its worth by contributing to yield improvements in any semiconductor fabrication or next generation mask production facility. 

FABSURPLUS.COM SECURES 7-FIGURE ORDER FOR ADVANCED LITHOGRAPHY EQUIPMENT

Fabsurplus.com’s Web-based Marketplace enables huge savings on Semiconductor and Solar equipment for buyers looking to reduce costs.

SDI-Fabsurplus Group, a leading web-based re-marketer of advanced  equipment used to manufacture semiconductors and solar cells, today announced that Fabsurplus.com has secured a 7-figure order for advanced lithography equipment.

“Fabsurplus.com has once again achieved a major sale ahead of our competition due to our superior market intelligence, technical knowledge and customer support” said Stephen Howe, the Owner of SDI-Fabsurplus Group of Companies. “The continuing investments in our web portal at fabsurplus.com coupled with our experience in this sector since 1998 have again produced results.  We hope to leverage this success to introduce fabsurplus.com as a reliable, low-cost, alternative source for technically advanced equipment to many more clients in the near future.”

Customers can learn more about Fabsurplus.com’s re-marketing solutions during SEMICON West 2013 by contacting the Sales Team ([email protected]) who will be attending the show to promote our used semiconductor equipment solutions and our recently acquired used solar cell manufacturing lines..

According to a recent worldwide fab equipment spending forecast report by SEMI, the semiconductor equipment market size is expected to remain flat in 2013 and to grow 24% to $39.2 billion in 2014.

About Fabsurplus.com 

Fabsurplus.com refurbishes and re-markets semiconductor equipment used in the fabrication of integrated circuits. The company is a leading re-marketer of used fab equipment specializing in lithography, metrology, implant, CMP, CVD and PVD as well as Assembly, Test , SMT, FPD and Solar tool sets. Through software and design innovation, Fabsurplus.com provides technologically advanced systems and re-marketing solutions for customers fabricating current- and next-generation semiconductor devices. The company supplies equipment and provides re-marketing solutions to foundries, power device , memory and logic integrated device manufacturers in the United States, Europe, China, Japan, Korea, Singapore, Taiwan and other Asian countries. The website Fabsurplus.com receives 700,000 visits yearly.

For more information, please contact SDI-Fabsurplus LLC, 1001 S Main Ste 3, Boerne TX 78006. Telephone: +1-830-388-1071. Internet: www.fabsurplus.com. 

Top Tier MEMS Fab Selects ClassOne Equipment Refurbished Spray Solvent Tool

ClassOne Equipment announced today that it has recently sold, installed, and released into production a fully refurbished SEMITOOL Spray Solvent Tool (SST) at a top tier, US based MEMs Fab for its resist strip process. 

“Our decision process was rigorous and based on many factors including:  process performance, tool reliability, long-term support, and of course price.” said the Sr. Engineer responsible for selecting this system.  “In the final analysis, the value proposition was compelling to us. We saw a clear benefit in buying a remanufactured SST from ClassOne over a new tool, or a used tool from other suppliers.”

Win Carpenter, Vice President of ClassOne’s Wet Process Division stated “For over a decade, the SEMITOOL SST has been the tool of choice for solvent processing in semiconductor fabrication applications ranging from resist strip to metal lift-off.   Given the appropriate level of refurbishment, these tools are still relevant today, especially in emerging technology sectors like MEMs, LED, Power and RF Devices. “  Carpenter further added, “ClassOne Equipment has established a leadership position in the refurbishment, service, and support of SEMITOOL systems through its recent expansion of factory-trained personnel, system and sub-system refurbishment capabilities, as well as service and parts offerings.”

Byron Exarcos, President of ClassOne Equipment, added “This is a great example of ClassOne Equipment establishing a footprint in yet another top tier MEMs fab.  We are confident that our continued focus on our Wet Process Division will provide ever-increasing value to our customers worldwide. “

About ClassOne Equipment

ClassOne Equipment (http://ClassOneEquipment.com) is a recognized leader in the business of equipment refurbishment with over 2,500 tools installed world-wide in four key markets:  Semitool Wet Chemical Processing, SPTS Plasma Etching & PECVD, Suss/EVG Mask Aligners, and KLA-Tencor Wafer Inspection.   Known for superior quality 75 to 300mm equipment, customer-first service, and its 30-day unconditional return policy, the ClassOne team has been winning and serving customers in the traditional semiconductor and emerging technology sectors for over 10 years. 

For more Information Contact:

Byron Exarcos

ClassOne Equipment

770.808.8708