Packaging and Testing

PACKAGING AND TESTING ARTICLES



Integrated circuit technology advances continue to amaze

02/21/2018  Despite increasing costs of development, IC manufacturers are still making great strides.

Siemens continues to invest in IC industry with planned acquisition of Sarokal Test Systems

02/15/2018  Siemens announced it has entered into an agreement to acquire Oulu, Finland-based Sarokal Test Systems Oy.

Frank Shemansky to lead SEMI's MEMS & Sensors Industry Group

02/13/2018  SEMI today announced the appointment of Frank A. Shemansky, Jr., Ph.D., as executive director and chief technology officer (CTO) of the MEMS & Sensors Industry Group (SEMI-MSIG).

MagnaChip offers 2nd-generation 0.13 micron BCD process technology with high-density embedded flash memory

02/12/2018  MagnaChip Semiconductor Corporation announced today it now offers the 2nd generation of 0.13 micron BCD process technology integrated with high-density embedded Flash memory.

Lam Research and Tokyo Electron gained in full year 2017 semiconductor equipment market shares

02/06/2018  Market shares of top semiconductor equipment manufacturers for the full year 2017 indicate large gains by Tokyo Electron and Lam Research while top supplier Applied Materials dropped.

Turbulent times ahead for trade

01/25/2018  International trade is one of the best tools to spur growth and create high-skill and high-paying jobs. Over 40 million American jobs rely on trade, and this is particularly true in the semiconductor supply chain. Over the past three decades, the semiconductor industry has averaged nearly double-digit growth rates in revenue and, by 2030, the semiconductor supply chain is forecast to reach $1 trillion.

Year End Wow!

01/24/2018  2017 ended on a high note from an end market perspective.

Growth of IoT to drive the global reset IC market

01/23/2018  Technavio market research analysts forecast the global reset IC market to grow at a CAGR of close to 12% during the forecast period, according to their latest report.

Worldwide PC shipments declined 2% in 4Q17 and 2.8% for the year

01/12/2018  Amid market consolidation, the top four PC vendors accounted for 64% of shipments in 2017.

UMC files patent infringement lawsuit against Micron

01/12/2018  The lawsuit covers three areas that allegedly infringe upon UMC’s patent rights in China, including specific memory applications that relate to DDR4, SSD and memory used in graphics cards.

Fabless IC company sales top $100B for first time ever

01/04/2018  Two Chinese companies -- HiSilicon and Unigroup -- are among the top 10 fabless IC sales leaders.

Global semiconductor sales increase 21.5% year-to-year in November

01/03/2018  Worldwide market notches highest-ever monthly sales of $37.7 billion; sales increase 1.6 percent compared to October.

The coldest chip in the world

12/20/2017  Physicists at the University of Basel have succeeded in cooling a nanoelectronic chip to a temperature lower than 3 millikelvin.

Tessera reaches global settlement with Broadcom

12/18/2017  Settlement includes multi-year license agreement.

Invensas completes DBI technology transfer to Teledyne DALSA

12/15/2017  One of the world's largest independent MEMS foundries ready to manufacture MEMS and image sensor products utilizing Invensas wafer bonding and 3D interconnect technology.

The world's 1st "7-axis" motion tracking devices: tiner package & better response time

12/13/2017  Accelerometers and gyroscopes are fueling the robotic revolution, especially the drones’ market segment. However, these MEMS devices are not the only ones on the market place anymore, with environmental sensors penetrating this industry too.

Advantest launches automated IC handler with thermal control for use in semiconductor engineering labs

11/29/2017  Semiconductor test equipment supplier Advantest Corporation has developed the M4171 handler to meet the mobile electronics market's needs for cost-efficient thermal control testing of ICs with high power dissipation during device characterization and pre-production bring up.

TEL NEXX modernizes shop floor data collection and quality control with InfinityQS ProFicient

11/16/2017  Quality Intelligence solution enables chip metallization provider to improve accuracy and timeliness of data capture, rapidly respond to issues, and identify opportunities for continuous improvement.

SkyWater significantly expands pure-play technology foundry customer base

11/16/2017  SkyWater Technology Foundry announces that it has been assigned the Specialty Foundry customer relationships from Cypress Semiconductor Corporation.

Leti announces FED4SAE project to accelerate European cyber-physical system solutions to market

11/14/2017  Leti, a research institute of CEA Tech and coordinator of the pan-European consortium FED4SAE, today announced that the 14 project partners have launched a three-year European Commission program to facilitate the acceleration of European cyber-physical-system (CPS) solutions to market.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts