Packaging

PACKAGING ARTICLES



GOWIN Semiconductor's GW1NS family of products named Arm TechCon 2018 Innovation Award finalist for design innovation of the year

10/10/2018  GOWIN Semiconductor today announced that its GW1NS product family was named a 2018 Arm TechCon Innovation Award finalist for design innovation of the year.

Automating 200mm semiconductor fabs to meet growing demand

10/10/2018  SEMI met with Heinz Martin Esser, managing director at Fabmatics GmbH, to discuss how existing 200mm semiconductor fabs can master the challenges of a 24x7 production under highest cost and quality pressure by implementing intralogistics automation solutions.

Synopsys Design Platform enabled for TSMC's multi-die 3D-IC advanced packaging technologies

10/05/2018  Synopsys, Inc. today announced the Synopsys Design Platform fully supports TSMC's wafer-on-wafer (WoW) direct stacking and chip-on-wafer-on-substrate (CoWoS) advanced packaging technologies.

Overcoming challenges of futuristic transistor technology below 5nm node

10/05/2018  To scale down a transistor below a 5nm node is one of the vital concerns for VLSI industry as there are various challenges due to the shrinking of components. Several researches are going on worldwide to overcome the challenges of future technology nodes. Among them, this article reviews the potential transistor structures and materials like Carbon Nano-tube FET, Gate-All-Around FET, and Compound Semiconductors as solutions to overcome the problems of scaling the existing silicon FinFET transistor below 5nm node.

CMOS image sensors: Yole Développement is increasing its forecasts again

10/04/2018  2017 saw aggregated CIS industry revenue of US$13.9 billion. And 5 years later, the consulting company Yole announces more than US$ 23 billion.

DRAM market braces for slower growth

10/03/2018  History suggests that DRAM ASP and market growth will soon trend downward; suppliers cautious and stand ready to adjust capex expansion plans.

Applied Energy Systems announces acquisition of Advanced Research Manufacturing (ARM)

10/01/2018  AES will add ARM's gas purification technology to supplement and further expand its gas delivery equipment offerings.

Synopsys delivers automotive-grade IP in TSMC 7nm process for ADAS designs

10/01/2018  Synopsys, Inc. today announced delivery of automotive-grade DesignWare Controller and PHY IP for TSMC's 7-nanometer (nm) FinFET process.

JCET Group appoints distinguished semiconductor industry executive Dr. Lee Choon Heung as CEO

09/28/2018  Dr. Lee brings to JCET a wealth of expertise and veteran leadership with 20 years of extensive semiconductor packaging and test experience.

Alpha and Omega Semiconductor announces new TO-leadless packaging technology for high current 400A applications

09/27/2018  Alpha and Omega Semiconductor Limited today introduced the TO-Leadless (TOLL) package in combination with 40V Shield-Gate Technology (SGT) to provide the highest current capability in its voltage class.

China forecast to account for 90% of pure-play foundry market growth in 2018

09/26/2018  Driven by cryptocurrency device demand, TSMC's China sales are expected to surge by 79% this year.

WIN Semiconductors Corp integrated GaAs technologies support 5G user equipment and network infrastructure

09/26/2018  WIN Semiconductors Corp., the world?'s largest pure-play compound semiconductor foundry, is driving the development and deployment of 5G user equipment and network infrastructure in the sub-6GHz and mmWave frequency bands.

GLOBALFOUNDRIES delivering 8SW RF SOI client chips on 300mm platform for next-generation mobile applications

09/26/2018  RF SOI technology builds on manufacturing legacy that reaches new milestone with more than 40 billion chips shipped.

U.S.-China trade war heats up with semiconductor industry caught in the middle

09/25/2018  Earlier this week, the U.S. Trade Representative (USTR) released a 10 percent tariff on $200 billion in imports from China, including more than 90 tariff lines central to the semiconductor industry.

GLOBALFOUNDRIES extends FinFET offering with new features to enable tomorrow's intelligent systems

09/25/2018  Feature-rich semiconductor platform delivers competitive performance and scalability for next-generation compute applications.

Seven IC products to outpace total 16% IC market growth in 2018

09/18/2018  13 IC products forecast to show double-digit growth, led by a 39% surge in DRAM sales.

Keysight Technologies' 3D planar electromagnetic simulator certified for GLOBALFOUNDRIES 22FDX process technology

09/18/2018  Keysight Technologies, Inc. (NYSE: KEYS), a technology company that helps enterprises, service providers, and governments accelerate innovation to connect and secure the world, today announced that the company's 3D planar electromagnetic (EM) simulator, Momentum, has been certified for GLOBALFOUNDRIES (GF) 22FDX, 22nm Fully-Depleted Silicon-On-Insulator (FD-SOI) technology.

Energy Taiwan: Inaugural international event aims to speed clean energy technology innovation

09/18/2018  With Taiwan poised as a central player role in global renewable energy, the stage is set for the inaugural Energy Taiwan, Taiwan's largest green energy technology exchange platform that aims to accelerate innovation of clean energy technologies.

GOWIN Semiconductor unveils the latest embedded memory products

09/17/2018  The 2 new embedded FPGA devices were designed with low power, small package size, and low cost in mind. 

SEMI-THERM announces Call for Papers for 35th annual conference

09/17/2018  SEMI-THERM is currently accepting submissions for extended abstracts, peer-reviewed papers and presentation only abstracts. The deadline for each of these submissions types is October 12, 2018.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts