Semiconductors

SEMICONDUCTORS ARTICLES



Unisem adds Accretech wafer prober for 12 in wafers in Sunnyvale

03/14/2011 

Accretech’s next generation prober, the UF3000EX, offers high-speed wafer handling, a low-noise XY stage, and high accuracy with its OTS (Optical Target Scope) positioning technology. 

Japan earthquake impact on semiconductor community

03/14/2011 

Japan earthquake map with wafer fabs. Source: Objective Analysis March 2011.An earthquake of magnitude 8.9 struck March 11 off the coast of Japan's main island, Honshu. Jim Handy, Objective Analysis semiconductor market research, and other analysts share insights into Japan's semiconductor fabs and the quake's impact range. The update includes information from individual semiconductor companies in the area.

Mattson-Technology-debuts-photoresist-dry-strip-system

03/14/2011 

Mattson Technology Inc. (NASDAQ: MTSN) introduced the SUPREMA XP5 photoresist dry strip system for high-volume production of current and future-generation logic, DRAM and flash memory devices.

CAPRES-wins-repeat-metrology-order-from-Asia-foundry

03/14/2011 

CAPRES A/S, semiconductor metrology systems maker for the direct nano- and micro-scale electrical characterization of materials, announced a repeat order for its fully automated 300mm microRSP-A300 from an industry-leading foundry in Asia.

Electronics-in-Japan-Earthquake-impact-from-IHS-iSuppli

03/11/2011 

IHS iSuppli provides Japan's semconductor, electronics, and LCD fab stats in the wake of Japan's major earthquake today. The two major DRAM fabs in Japan, operated by U.S. based-Micron and Japan’s Elpida, have not been directly affected, according to preliminary indications from IHS iSuppli contacts.

16nm-hp-EUV-blanks-inspected-with-KLAC-Teron

03/11/2011 

Lithography defect inspection. SOURCE: KLA-Tencor (KLAC)Among the topics covered at KLA-Tencor’s annual Lithography Users Forum was extension of KLAC's Teron 600 platform for inspection of EUV blanks at the 16nm hp node. Here, Brian Trafas speaks with Debra Vogler about process control in advanced lithography.

Model-based-mask-data-prep-Solving-the-impossible-mask

03/10/2011 

SPIE Advanced Lithography eBeam Initiative annual meeting, circle shot imageAki Fujimura, chairman & CEO of D2S, provides an update of the eBeam Initiative roadmap. Speaking at SPIE Advanced Lithography with editor Debra Vogler, Fujimura recalls mask cost/yield and write time developments, summarizes the eBeam Initiative's meeting, and describes member Dai-Nippon Printing's impressive time results with an "impossible" mask.

Complementary-electron-beam-lithography-extends-optical-litho-life

03/09/2011 

At SPIE Advanced Lithography, David Lam, Multibeam chairman and former CEO of Lam Research, presented the complementary e-beam lithography (CEBL) concept. IC manufacturers will find CEBL beneficial as they search for ways to continue using their optical lithography equipment, says Lam.

SEMATECH reports die to wafer bonding progress for 3D integration

03/09/2011 

SEMATECH experts reported new breakthroughs in wafer bonding at the 7th Annual Device Packaging Conference (DPC), March 7-10 in Scottsdale, AZ. Low-temp die tacking has yielded faster die-to-wafer integration.

SPIE keynote: imec stance on materials innovation

03/09/2011 

Luc Van den hove, president/CEO of imec, summarizes key themes from his keynote presentation at the SPIE Advanced Lithography symposium. Van den hove speaks about materials challenges, silicon photonics, and semiconductors in healthcare/medicine in an interview with Debra Vogler at the show.

Hynix Semiconductor joins SEMATECH 3D Interconnect Program at UAlbany NanoCollege

03/09/2011 

Hynix Semiconductor Inc., DRAM and flash memory supplier, joined SEMATECH's 3D Interconnect program at CNSE's Albany NanoTech Complex to address industry infrastructure and technology gaps in materials, equipment, integration and product-related issues for high-volume adoption of through silicon vias (TSV).

The 10 chipmaker "powers" that suppliers need to know

03/09/2011 

A new "power" rankings list of chipmakers reiterates how 300mm chipmaking capacity is being concentrated to a select group of players -- i.e., the ones that equipment and materials suppliers will need to focus on for future business.

Optomec aerosol jet printing featured as wire bond, TSV alternative at IMAPS Device Packaging

03/08/2011 

Optomec Aerosol Jet product manager Mike O’Reilly will give a presentation titled "Aerosol Jet Printing as an Alternative to Wire Bond and TSV Technology for 3D Interconnect Applications" at the IMAPS Device Packaging Conference on March 9.

Hermetic wafer level packaging lowers cost with IMT Au Au bond

03/08/2011 

IMT introduced its hermetic gold-to-gold (Au-Au) thermo compression bonding for wafer-level packaging (WLP). In development for nearly a year, this bond is being actively used in production.

AMAT-inspection-Magma-yield-analysis-integration-accelerates-litho-quals-better-chip-yields

03/08/2011 

Excalibur Litho from Applied Materials (AMAT) and Magma Design AutomationApplied Materials integrated Magma's CAD-based navigation and yield analysis software with AMAT inspection systems; it's called Excalibur Litho and targets designs at 2xnm and below. Ankush Oberai, Magma and Erez Paran, AMAT, explain why hot spot identification and real line data for libraries will fuel better lithography processes.

SPIE 2011: An ASML review of EUV

03/08/2011 

Ron Kool from ASML reports on updates in EUV and other next-generation lithography technologies at this year's SPIE Advanced Lithography symposium.

SPIE: A glimpse into Intel's litho future

03/07/2011 

Dr. David Lam from Multibeam reports on the Nikon LithoVision conference at this year's SPIE Advanced Lithography symposium, where Nikon and Intel tipped results and strategies for 1D gridded layouts, and hinted at mysterious "game-changer" litho efforts besides 193i and EUV.

Terry-Brewer-Brewer-Science-profile

03/04/2011 

Terry Brewer, Brewer Science"You can't approach the future by predictions. You approach the future by making it happen," Terry Brewer, founder/president of Brewer Science, says. And this mindset carries over into real-world results for the semiconductor industry, he points out: "EUV will happen if we want to make it happen."

 

The-physics-behind-Gigaphoton-EUV-source-technology

03/04/2011 

Gigaphoton SPIE podcastGigaphoton released the latest developments in its EUV source program at this week’s SPIE Advanced Lithography conference. The company reported achieving a 3.3% CE with tin droplets <20µm in diameter with its plasma-based LPP.

Cymer-EUV-source-timelines-and-DUV-highlights

03/03/2011 

Cymer shows lithography tool cost of ownership reductionNigel Farrar, Cymer, provides an update on EUV source technology, DUV lithography, laser lifetimes with gas improvements, and the company's product release at SPIE Advanced Lithography, Focus Drilling. He speaks with senior technical editor Debra Vogler.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts