Semiconductors

SEMICONDUCTORS ARTICLES



EUV-resists-multibeam-lithography-chat-with-imec

03/03/2011 

At SPIE Advanced Lithography, Kurt Ronse, director of lithography at imec, discussed with Debra Vogler the research center's new ASML pre-production EUV scanner, EUV readiness with source power (still a concern) and resists (practically there). He also discusses the perhaps overlooked topic of pattern collapse.

SPIE 2011: Where are we now with EUV?

03/02/2011 

What does the industry have to show so far from its mountainous investments in EUV? Reporting from the SPIE Advanced Lithography conference, Franklin Kalk from Toppan Photomasks examines several papers summarizing EUV progress and challenges, and pins current work somewhere between "science project" and "a long way to go."

Carl-Zeiss-photomask-registration-correction-system-RegC-debuts

03/02/2011 

Carl Zeiss RegCCarl Zeiss introduced a new production tool aimed to improve registration and overlay of advanced photomasks. RegC is based on ZEISS femtosecond-laser technology. RegC enables correction on high-end photomasks for remaining registration errors after the pattern generation process. Current results show registration improvements over 50% in advanced lithography.

Cymer-focus-drilling-for-immersion-light-sources-improves-depth-of-focus-by-2x

03/02/2011 

Cymer Inc. (Nasdaq: CYMI) introduced a focus drilling technology for its immersion light sources including the XLR 600ix, XLR500i, XLA 400 and XLA 300. Focus drilling provides up to a 2X improvement in the depth of focus on the wafer.

Nanometrics-launches-overlay-metrology-system-wins-Asia-order

03/01/2011 

Nanometrics Incorporated (Nasdaq:NANO), advanced process control metrology provider, launched the Mosaic II turnkey image-based overlay metrology solution for advanced high-volume IC manufacturing. NANO reports an initial delivery to a leading Asian memory customer.

Wafer probe parameters for current carrying capability in semiconductor test Microprobe

03/01/2011 

Typical plot resulting from the SEMI methodology; a 20% contact force reduction marks the CCC spec. CCC improvement achieved through probe material conductivity (electrical and thermal) and high temperature strength increase. 75µm probe deflection.The trend toward complex semiconductor devices is fueling demand for more advanced wafer probe cards capable of accurately and cost-effectively testing these ICs, says January Kister, Microprobe. Kister examines the variables that impact current carrying capability (CCC) during semiconductor wafer test, and describe an optimal probe design with a composite metal structure.

EUV-lithography-vs-EBDW-Toppan-Photomasks-at-SPIE

03/01/2011 

Franklin Kalk, CTO of Toppan Photomasks, tells ElectroIQ's Debra Vogler, senior technical editor, where the current hurdles are for EUV mask work, the pros and cons of EUV vs. e-beam direct write (EBDW), and why there hopefully is room for both technologies -- which isn't great news for foundries.

Synopsys-lithography-verification-in-Proteus-LRC-handles-EUV-double-patterning

03/01/2011 

Synopsys introduced Proteus LRC for lithography verification at SPIE Advanced Lithography. Proteus LRC provides process-window-aware checking features to identify locations in a design that are sensitive to process variations, enabling corrective actions prior to committing a semiconductor design to manufacture.

High-k metal gate characterization using picosecond ultrasonic technology

03/01/2011  Picosecond ultrasonic technology can be used during various stages of process development, integration, and volume manufacturing for monitoring the HKMG stack; this information can be used to characterize the process, and optimize deposition and CMP processes. J. Dai, P. Mukundhan, J. Chen, J. Tan, Rudolph Technologies, Flanders, NJ USA; D.B. Hsieh, T.C. Tsai, 1-United Microelectronics Corp., Tainan, Taiwan

Scaling transistors: from new materials to new device architectures

03/01/2011  Strain and high-k/metal gates have been used to answer near-term scaling challenges. A consistent theme has been the introduction of new materials, and this will only expand in future nodes as strain techniques lose their effectiveness due to shrinking dimensions and technologists look to integrations more advanced than conventional planar FETs. Bill Taylor, Chris Hobbs, SEMATECH, Albany NY, USA

Leveraging ion implant process characteristics to facilitate 22nm devices

03/01/2011  Using implant as a precision material modification in contrast to its traditional role as a semiconductor dopant tool, provides enabling technology and new applications. James L. Kawski, Varian Semiconductor Equipment Associates, Gloucester, MA USA

Tackling the rising cost-of-test for semiconductor devices

03/01/2011  Kenneth A Ramsey, Executive Vice President, MCT Worldwide, LLC, Minneapolis, MN USA

SPIE keynote Imec installs ASML pre-production EUV scanner

02/28/2011 

At the SPIE Advanced Lithography conference, Luc Van den hove, president and CEO of imec, announced during his keynote speech that imec has started the installation of ASML's pre-production extreme ultraviolet lithography (EUVL) scanner, the NXE:3100, in its Leuven, Belgium facility.

High-k semiconductor materials from a chemical manufacturer perspective

02/28/2011 

High-k semiconductor materials from a chemical manufacturer's perspectiveGeoff Irvine, SAFC Hitech, reviews the development and introduction of high-k layers into the semiconductor industry, and what the next 20 years might bring in the next-generation high-k and ultra-high-k layers and precursors.

Brewer-Science-immersion-lithography-products

02/28/2011 

Brewer Science launched the OptiStack system of advanced lithography products: a combination of materials, software and process support. In tandem, Brewer Science debuted the ARC 300 coating series, designed to work with OptiStack.

Samsung announces wide I/O DRAM with TSVs for mobile apps

02/27/2011 

Weeks after announcing a 40nm 8GB DDR3 memory with 3D through-silicon vias (TSV), Samsung is showing a wide I/O 1GB DRAM also utilizing 3D TSVs, targeting mobile applications.

AMAT more bullish on 2011, WFE spending

02/25/2011 

Applied Materials (AMAT) beat estimates in its fiscal 1Q11 results released yesterday (Feb. 24), and the outlook for the entire year is looking up. "2010 was a strong recovery year across the board, and 2011 is shaping up to be even better," said top exec Michael Splinter.

SoftJin-enhances-defect-analysis-software

02/25/2011 

SoftJin NxDAT defect analysis softwareSoftJin Technologies, a provider of customized automation software for electronic design and manufacturing, enhanced NxDAT, its defect analysis software. The enhanced version of NxDAT is optimized for better speed and memory performance.

ISSCC report: Fast changes in wireless, imaging

02/24/2011 

Michel Durr, program manager from Leti, reports from this week's International Solid-State Circuits Conference (ISSCC), highlighting rapid changes in devices targeting wireless communications, and support for this year's show theme of "electronics for healthy living."

Sapphire wafer carriers debut from Meller Optics

02/24/2011 

Meller Optics sapphire wafer carriers.Custom fabricated sapphire wafer carriers that are uniform, parallel, and impervious to solvents and etchants for thinning semiconductor materials are available from Meller Optics Inc.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts