Semiconductors

SEMICONDUCTORS ARTICLES



UltraSoC announces support for Western Digital RISC-V SweRV Core and OmniXtend cache-coherent interconnect

02/21/2019  UltraSoC today announced full support within its embedded analytics architecture for Western Digital's RISC-V SweRV Core and associated OmniXtend cache-coherent interconnect.

POET Technologies establishes photonics design capabilities in Ottawa

02/21/2019  POET Technologies Inc. and Photonic Integrated Circuits (PICs) for the data- and tele-communication markets, today announced that it had entered into an agreement with the highly-respected firm, MillView Photonics, Inc. to establish a collaborative design center in Ottawa, Ontario, Canada.

Synopsys and GLOBALFOUNDRIES collaborate to develop industry's first automotive grade 1 IP for 22FDX process

02/21/2019  Synopsys, Inc. and GLOBALFOUNDRIES today announced a collaboration to develop a portfolio of automotive Grade 1 temperature DesignWare Foundation, Analog, and Interface IP for the GF 22-nm Fully-Depleted Silicon-On-Insulator process.

pSemi announces Sumit Tomar will be transitioning to CEO

02/20/2019  pSemi Corporation today announced that its parent company and executive leadership has approved the recommendation of Chairman and Chief Executive Officer Jim Cable for an evolution of the company's senior leadership structure.

Rice U. researchers unveil Internet of Things security feature

02/20/2019  'Physically unclonable function' is 10 times more reliable than previous methods.

CEA-Leti and Stanford target edge-AI apps with breakthrough NVM memory cell

02/20/2019  Researchers at CEA-Leti and Stanford University have developed the world’s first circuit integrating multiple-bit non-volatile memory (NVM) technology called Resistive RAM (RRAM) with silicon computing units, as well as new memory resiliency features that provide 2.3-times the capacity of existing RRAM.

GLOBALFOUNDRIES crosses billion-dollar design win threshold with 8SW RF SOI technology

02/20/2019  Mobile market continues to favor RF SOI, with 8SW proving to be the industry's leading platform for power-optimized chips.

ESI receives significant Asia order for flex PCB laser via drilling solution

02/19/2019  Electro Scientific Industries (ESI), a division of MKS Instruments, Inc. and an innovator in laser-based manufacturing solutions for the micro-machining industry, today announced an order for its recently-released CapStone laser drilling solution for processing flexible printed circuits (FPC).

Soitec and Simgui announce enhanced partnership and increased production capacity of 200mm SOI wafers in China

02/19/2019  Soitec, a designer and manufacturer of innovative semiconductor materials, and Shanghai Simgui Technology Co., Ltd., a Chinese silicon-based semiconductor materials company, jointly announced today an enhanced partnership and an increase in annual production capacity of 200mm silicon-on-insulator (SOI) wafers

A ride on the business cycle

02/19/2019  World electronic industry growth moderated (or contracted) in many sectors in late 2018.

UltraSoC extends on-chip analytics architecture for the age of machine learning, artificial intelligence and parallel computing

02/15/2019  Addresses complex multicore systems for automotive, storage, at-scale computing.

eSilicon builds momentum as a strong tier one FinFET ASIC supplier

02/15/2019  eSilicon, a provider of FinFET ASICs, market-specific IP platforms and advanced 2.5D packaging solutions, announced today the achievement of multiple milestones related to the company's growth in the tier one FinFET ASIC market, serving high-bandwidth networking, high-performance computing, AI and 5G infrastructure.

Samsung SDS and IBM collaborate to strengthen open source hyperledger fabric and blockchain ecosystems

02/15/2019  During IBM THINK 2019, IBM’s annual conference focused on technology and business, Samsung SDS announced it is continuing its collaboration with IBM in support of advancing Hyperledger Fabric, an open source cross-industry blockchain technology, with recent code contributions, research and a new white paper.

NRL, AFRL develop direct-write quantum calligraphy in monolayer semiconductors

02/14/2019  Scientists at the U.S. Naval Research Laboratory (NRL) and the Air Force Research Laboratory (AFRL) have developed a way to directly write quantum light sources, which emit a single photon of light at a time, into monolayer semiconductors such as tungsten diselenide.

Taiwan maintains largest share of global IC wafer fab capacity

02/14/2019  China shows biggest increase, nearly matching North America with 12.5% share in 2018.

Soitec becomes strategic partner of Silicon Catalyst start-up incubator

02/14/2019  Silicon Catalyst, the world's only incubator focused exclusively on accelerating solutions in silicon, today announced Soitec, a designer and manufacturer of semiconductor materials, as its first European Strategic Partner.

Who is leading the RF GaN IP landscape?

02/13/2019  The RF GaN industry is showing an impressive growth with a 23% CAGR between 2017 and 2023, driven by telecom and defense applications. By the end of 2017, the total RF GaN market was close to US$380 million and 2023 should reach more than US$1.3 billion with an evolving industrial landscape.

SEMICON Southeast Asia 2019 to showcase smart manufacturing, IoT, and workforce development

02/13/2019  Registration opens for Southeast Asia's premier electronics manufacturing supply chain event.

The "Wall," political gridlock and China: SEMI's take on SOTU address

02/12/2019  For public policy lovers, civic-minded, engaged U.S. citizens, and people around the world interested in the U.S. President’s positions and priorities, the annual State of the Union address (SOTU) is "must-see TV."

Penn engineers develop room temperature, two-dimensional platform for quantum technology

02/12/2019  Researchers at the University of Pennsylvania's School of Engineering and Applied Science have now demonstrated a new hardware platform based on isolated electron spins in a two-dimensional material.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts