Tag Archives: Expired Webcast

BSE_BostonSemiEquipment_Logo - Higher Resolution

Date: May 11, 2016 at 12 PM ET

Free to attend

Length: Approximately one hour

NEW register_button

In 2015, the MEMS market did not grow as much as we initially expected. In the past, the Smart Phone industry was a strong driver of the MEMS industry with ever increasing volume. Today, MEMS are becoming commodity products with very low price. The webcast will review the latest market data and forecasts for the future. The MEMS “commoditization” paradox will be discussed as well as latest technical trends (sensors combos, packaging).

Speakers: 

EM_4_[1]Dr. Eric Mounier, MEMS Senior Analyst, Yole Développement

Dr. Eric Mounier has a PhD in microelectronics from the INPG in Grenoble. He previously worked at CEA LETI R&D lab in Grenoble, France in marketing dept. Since 1998 he is a cofounder of Yole Développement, a market research company based in Fr ance. At Yole Développement, the “More than Moore” market research and strategy consulting company, Dr. Eric Mounier is in charge of market analysis for MEMS & Sensors, visible and IR imagers (CIS, microbolometers), semiconductors, printed electronics and photonics (e.g. Silicon photonics). He has contributed to more than 200 marketing & technological analysis and 100 reports. Eric is also an expert at the OMNT (“Observatoire des Micro & Nanotechnologies”) for Optics.

image007Philippe Robert, Manager of the Microsystem Components Laboratory, CEA Leti

Philippe Robert received a M.Sc. degree in optical electronic in 91 from the university of Grenoble, and a Ph.D in electrical engineering in 96, from the National Polytechnic Institute of Grenoble (INPG). From 1996 to 1998, he worked as R&D engineer at SILMAG S.A, to develop new TSV interconnections for hard disk silicon magnetic heads. From 1998 to 2001, he was part of the technical staff of THALES-AVIONICS Sensor Unit, where he was in charge of silicon and quartz inertial sensors developments. In 2001, he joined CEA-LETI where he was involved in several projects on RF-MEMS. He is presently manager of the Microsystem Components Laboratory. He has authored or co-authored about 40 journal papers and conference contributions, and he holds more than 40 patents dealing with MEMS, NEMS and packaging.

Sponsored by Boston Semi Equipment

Boston Semi Equipment (BSE) manufactures test cell automation equipment and provides technical services to semiconductor manufacturers and OSATs worldwide. Our test cell equipment solutions include automated test equipment (ATE), gravity and pick-and-place handlers, wafer probers and customized automation solutions. BSE’s worldwide service professionals and technical support offerings enable our customers to achieve maximum uptime from their semiconductor test operations. Our goal is to lower equipment and operating costs for our customers.

BrewerScienceBLUE

Date: May 10, 2016 at 1 PM ET

Free to attend

Length: Approximately one hour

NEW register_button

In order to adapt to new applications and cut down cost, the semiconductor industry seeks further performance and functionality boosts through package level system integration. While transistor scaling options remain uncertain in the shorter term and continue to be investigated, the spotlight is turned to advanced packages. Emerging packages such as fan-out wafer level packages, 2.5D/3D IC solutions and related SiPs together with upgraded flip chip CSP/BGAs aim to bridge the gap and revive the cost/performance curve while at the same time adding more functionality.

This webcast will focus on the status of the advanced packaging industry, the challenges and opportunities that lie ahead. A high level overview will be given on current and future global markets, with a particular reflection on the evolution of the market in China. Furthermore, 3D integration packaging technology developments will be summarized, with focus on the 2.5D/3D, FO WLP and advanced FC substrate platforms.

Speakers:

AndrejIvankovic_YOLE2015_Pro_HDAndrej Ivanovic, Technology & Market Analyst, Advanced Packaging & Semiconductor Manufacturing, Yole Développement

Andrej Ivankovic is a Technology & Market Analyst, in the Advanced Packaging and Semiconductor Manufacturing team, at Yole Développement. He holds a master’s degree in Electrical Engineering, with specialization in Industrial Electronics from the University of Zagreb, Croatia and a PhD in Mechanical Engineering from KU Leuven, Belgium. He started as an intern at ON Semiconductor performing reliability tests, failure analysis and characterization of power electronics and packages. The following 4 years he worked as a R&D engineer at IMEC Belgium on the development of 3D IC technology, focusing on electrical and thermo-mechanical issues of 3D stacking and packaging. Part of this time he also worked at GLOBALFOUNDRIES as an external researcher. He has regularly presented at international conferences authoring and co-authoring 18 papers and 1 patent.

Mike KellyMike Kelly, Senior Director 3D TSV Development, Amkor Technology

Mr. Kelly joined Amkor in 2005 and has led package developments for EMC shielding, low cost thermal enhancements for PBGAs,  image sensors and most recently 2.5D and SLIM package development. He previously worked for HP and Avago. Mike has worked in electronics interconnect for 24 years, including circuit board assembly,  IC package mechanical and thermal design and projects ranging from polyester flexible circuits to eutectic flip chip, and management of IC IP block design and acquisition and electrical signal integrity teams. Mike holds master’s degrees in Mechanical and Chemical Engineering.

Sponsored by Brewer Science

Brewer Science is a global technology leader in developing and manufacturing innovative materials, processes, and equipment for the fabrication of semiconductors and microelectronic devices. In 1981, Brewer Science revolutionized lithography processes with its invention of Brewer Science® ARC® anti-reflective coatings. Today, Brewer Science continues to expand its technology portfolio to include products that enable advanced lithography, 3-D integration, chemical and mechanical device protection, nanotechnology, and thin wafer handling.

Brewer Science was one of the first companies to recognize the potential of temporary wafer bonding for ultrathin wafer handling. Temporary bonding is key as the semiconductor industry pushes for smaller devices, faster processing, and lower costs. The industry is moving toward thinner and larger-area substrates that can handle higher stress levels and more extreme temperature conditions, such as higher-temperature processing and lower-temperature bonding and debonding. Brewer Science offers advanced material and equipment sets for low-volume R&D environments and works with leading equipment vendors to provide fully automated solutions for higher-volume needs. By listening to customer needs and following industry advancements, Brewer Science has created the highest-quality temporary bonding products possible.

ARC is a registered trademark of Brewer Science, Inc.

Park Logo[4]

Date: Thursday, April 14, 2016 at 1:00 p.m. ET

Free to attend

Length: Approximately one hour

NEW register_button

Continued scaling and more complex device structures, including FinFETs and 3D stacking, are creating new challenges in metrology and characterization.  As the industry continues to explore new patterning methods, new phenomena further challenge metrology and characterization including pitch walking, stress relaxation in multilayer fins, and new device designs.   New materials continue to be explored for transistor and interconnect applications.  Here, the challenges facing materials characterization highlight the issues that will face in-line metrology when these materials transition to manufacturing.

Speaker:

Alain Diebold 2015Alain Diebold, Interim Dean, College of Nanoscale Sciences

Alain Diebold is Interim Dean at the College of Nanoscale Sciences.  He is also the Director of the SRC NRI INDEX Center.  He is a fellow of the American Vacuum Society and SPIE as well as a senior member of the IEEE. He is an associate editor for IEEE’s Transactions on Semiconductor Manufacturing. Before moving to Albany, Alain was a Senior Fellow at SEMATECH.  Prior to moving to Austin, He was a senior chemist at Allied Signal in Morristown, NJ. Alain received his PhD from Purdue University in 1979.

Sponsored by Park Systems

Park Systems provides the best quality AFM equipment for manufacturing and research in Semiconductor microscopy for failure analysis and defect review. Park Systems has introduced the revolutionary Park 3DM Series, the completely automated AFM system designed for overhang profiles, high-resolution sidewall imaging, and critical angle measurements. With the patented decoupled XY and Z scanning system with tilted Z-scanner, it overcomes the challenges of the normal and flare tip methods in accurate sidewall analysis.   Park NX-Hivac allows failure analysis engineers to improve the sensitivity of their measurements through high vacuum Scanning Spreading Resistance Microscopy (SSRM).  Park Smart ADR is the most advanced defect review solution available, featuring automatic target positioning without the need for labor intensive reference marks that often damage the sample. The Smart ADR process improves productivity by up to 1,000% compared to traditional defect review methods and offers up to 20x longer tip life thanks to Park’s groundbreaking True Non-Contact™ Mode AFM technology.

Park NX-Wafer makes accurate, repeatable, and reproducible sub-Angstrom roughness measurements for the flattest substrates and wafers with minimized tip-to-tip variation.  Park NX-Wafer delivers the industry’s lowest noise floor of less than 0.5 throughout the wafer area, combined with True Non-Contact Mode™ to achieve reliable measurements even for the long-range waviness measurement of scan sizes up to 100m x 100m.

Park Systems, is a world-leading manufacturer of atomic force microscopy (AFM) systems offering a complete range of products for researchers and industry engineers in chemistry, materials, physics, life sciences, semiconductor and data storage industries, used by over a thousand leading institutions and corporations worldwide. Park products are sold and supported worldwide with regional headquarters in the US, Korea, Japan, and Singapore, and distribution partners throughout Europe, Asia, and America. For more information call 408-986-1110 or email  [email protected] or visit the website at www.parkafm.com

AirProducts-logo-pms347-JPG

Epicor-logo-Business Inspired-2color-CMYK

Date: January 19, 2016 at 1 p.m. ET

Free to attend

Length: Approximately one hour

NEW register_button

The age of the Internet of Things is upon us, with the expectation that tens of billions of devices will be connected to the internet by 2020. This explosion of devices will make our lives simpler, yet create an array of new challenges and opportunities in the semiconductor industry, and in manufacturing industries in general. At the sensor level, very small, inexpensive, low power devices will be gathering data and communicating with one another and the “cloud.” On the other hand, this will mean huge amounts of small, often unstructured data (such as video) will be rippling through the network and the infrastructure. The need to convert that data into “information” will require a massive investment in data centers and leading edge semiconductor technology.

Also, manufacturers seek increased visibility and better insights into the performance of their equipment and assets to minimize failures and reduce downtime. They wish to both cut their costs as well as grow their profits for the organization while ensuring safety for employees, the general public and the environment.

The Industrial Internet is transforming the way people and machines interact by using data and analytics in new ways to drive efficiency gains, accelerate productivity and achieve overall operational excellence. The advent of networked machines with embedded sensors and advanced analytics tools has greatly influenced the industrial ecosystem.

Today, the Industrial Internet allows you to combine data from the equipment sensors, operational data , and analytics to deliver valuable new insights that were never before possible. The results of these powerful analytic insights can be revolutionary for your business by transforming your technological infrastructure, helping reduce unplanned downtime, improve performance and maximize profitability and efficiency.

RajeevRajeev Rajan, Vice President of Product for Internet of Things (IoT) at GLOBALFOUNDRIES

Rajeev Rajan is the Vice President of Product for Internet of Things (IoT) at GLOBALFOUNDRIES. He is responsible for driving thought leadership and awareness of GLOBALFOUNDRIES’ IoT solutions, which revolves around supporting go-to-market plans across the IoT portfolio as well as providing strategic direction and new market opportunities.

Prior to joining GLOBALFOUNDRIES, Rajeev was Sr. Director, Product Management and Marketing at Qualcomm Life Inc, where he led the product management and strategy for the company’s IoT/IoE and Healthcare and Life Sciences. Rajeev has also held positions of Co-Founder and CTO of 2net™, a Digital Health/mHealth/Wireless Healthcare start-up company, which has become the multi-million dollar Qualcomm healthcare company. He has held multiple technology, product, and business strategy roles at Qualcomm.

He holds more than 35 patents and is a co-author of the book Wireless Health: Remaking of Medicine by Pervasive Technologies. He currently serves as an Industrial Advisory Board Member of the Advanced Platform Technology (APT) Center, United States Department of Veterans Affairs (VA).

Rajeev holds a BS in Physics from St. Xavier’s College and a MS in Computer Science from Sardar Patel University, in Gujarat, India. He received his Executive MBA from the Rady School of Management at the University of California San Diego, CA and a MS in Biomedical Engineering from Case Western Reserve University in Cleveland, Ohio.

UdayTennetyUday Tennety, Director, Strategic Engagements and Innovation, GE Digital

Uday Tennety manages the strategic client engagements at GE Digital, and also leads a customer innovation and co-creation center called the Design Center. Uday has over 15 years of experience in providing enterprise software solutions to clients in various industries including Telecom, Energy, Transportation, Retail, Insurance, Finance, Banking and Software.

Prior to joining GE, Uday worked as the Director of Analytic Services at Revolution Analytics, a Microsoft company, where he helped many Fortune 500 companies with their Big Data Analytics initiatives. In the past, Uday also worked at companies such as Fujitsu, Tata Consultancy Services, Ecologic Analytics and others where he led diverse teams with roles in Strategy, Business Development, Marketing, Product Management and Product Development. Uday holds a MS in Computer Science degree from the University of North Carolina at Charlotte, and an MBA from the Haas School of Business at UC Berkeley.

Sponsored by Air Products and Epicor Software Corporation

Air Products has been a leading global supplier of high-purity gases, chemicals, and delivery systems to the electronics industry for over 40 years. We serve all major segments of the industry with a unique combination of offerings, experience, and commitment.  We’re advancing materials science. We’re advancing semiconductors. We’re advancing mobility. What can we help you advance?  www.airproducts.com/advancing

Epicor Software Corporation is a global leader delivering inspired business software solutions to the manufacturing, distribution, retail and services industries. With over 40 years of experience serving small, midmarket and larger enterprises, Epicor enterprise resource planning (ERP), production control software (MES), and supply chain management (SCM), enable companies to drive increased efficiency and improve profitability. With a history of innovation, industry expertise and passion for excellence, Epicor provides the single point of accountability that local, regional and global businesses demand. www.epicor.com/electronics

AirProducts-logo-pms347-JPG

Date: December 16, 2015 at 12:00 p.m. ET

Free to attend

Length: Approximately one hour

NEW register_button

NAND Flash has become the non-volatile memory of choice for smart phones, tablets and solid state drives. The success of NAND in these markets has been driven by a relentless improvement in cost per bit by continually shrinking lithographic features for 2D planar NAND. This lithography driven model for 2D NAND is now breaking down and 3D has entered the market as the NAND solution of the future. In the first segment of the Webinar we will discuss how NAND flash has gotten to where it is today and the current technical limitations. This will set the stage for the second segment addressing 3D NAND.

Flash memory has revolutionized the world of solid-state data storage, mainly because of the advent of NAND technology. Started in multimedia applications for the consumer market (cell phones, audio players, digicam, USB sticks…) the technology has recently migrated also in the laptop and tablets market as well as in enterprise storage and server farms where it has become an indispensable component in the memory hierarchy of large storage systems. Especially the latter is a major growth market, which will propel Flash into the Terabit era.

However, from the technical point of view, this requires a major change in how these memories are being fabricated. The floating gate concept which has been the old ‘work horse’ for the entire nonvolatile memory market since the 60s until today, has finally run out of steam because of major physical limitations with respect to the device electrostatics. Therefore, the industry has been looking for alternatives for many years (Phase Change memory, Spin-based Magnetic memory, Ferroelectric memory, Resistance RAM, micromechanical memory, nanocrystal memory, TANOS, etc). Finally, the winner concept turns out to be the 3D or vertical NAND concept which is based on the stacking of vertical gate-all-around (GAA) devices with a nitride charge trapping layer. While the other ‘emerging’ memory types mentioned above are narrowed down to other application areas such as embedded memories and storage class memories (SCM), the 3D NAND has created a new roadmap which is no longer solely linked to the lithography roadmap but rather to a combination of parameters such as cell diameter, vertical cell pitch, numbers of cells in a stack and the number of bits per cell.

This presentation will discuss this (r)evolution as well as its major scaling limitations.

Speakers:

jan van houdtProf. Dr. Jan Van Houdt, IEEE Fellow

Jan Van Houdt received a MSc degree in Electrical and Mechanical Engineering and a PhD from the University of Leuven. During his PhD work, he invented the HIMOS™ Flash memory, which he transferred to several industrial production lines. In 1999 he became responsible for Flash memory at imec and as such was the driving force behind the expansion of imec’s Memory Program. Today he is Chief Scientist in the Process Technology unit of imec. He has published more than 250 papers in international journals and accumulated more than 200 conference contributions (incl. 35 invitations and 5 best paper awards). He has filed more than 50 patents and served on the program and organizing committees of 10 major semiconductor conferences. In 2014 he received the title of IEEE Fellow for his contributions to Flash memory devices. Recently, he was appointed a part-time professor in Electrical Engineering and Nanotechnology at the University of Leuven.

ScottJ crop 72dpiScott Jones, Founder and President, IC Knowledge

Scotten (Scott) W. Jones has nearly 30 years of experience in the semiconductor and MEMS industries, 18 of those in senior management positions. He holds a BS in Physics from the University of Rhode Island, has published dozens of papers, books and book length reports and holds two patents. His career focus has been on manufacturing and process technology. Scott’s responsibilities have included manufacturing, engineering, IT, technology development, finance and accounting. Scott has built or upgraded several wafers fabs and has extensive experience in manufacturing execution systems, cost modeling, IP licensing agreements, outsourcing and foundry relationships. Scott’s management positions have included Vice President and Co-General Manager of a Semiconductor Division, Vice President of Operations at a Semiconductor Company and Vice Presidents of Engineering and Vice President of Operations at a MEMS Company. Scott is a senior member of the IEEE and is a lifetime member of Strathmore’s Who’s Who. In addition to serving as President of IC Knowledge, he also serves as a Director of the Georgetown Education Foundation.

Sponsored by Air Products

Air Products has been a leading global supplier of high-purity gases, chemicals, and delivery systems to the electronics industry for over 40 years. We serve all major segments of the industry with a unique combination of offerings, experience, and commitment.  We’re advancing materials science. We’re advancing semiconductors. We’re advancing mobility. What can we help you advance?  www.airproducts.com/advancing

AirProducts-logo-pms347-JPG

Date: September 9, 2015 at 8:00 p.m. ET

Free to attend

Length: Approximately one hour

NEW register_button

The proliferation of mobile devices and Internet of Things (IoT) will lead to unprecedented demands in terms of data-traffic. Data-sharing networks need to be capable of storing and transmitting large amount of data as well as perform smart data-analysis to enable timely planning and decision-making in both personal (e.g. social, health) and enterprise (e.g. business, factory, traffic management) settings. To handle rapidly increasing amount of data, microelectronic devices and the interconnects between the vast number of devices within smaller systems (e.g. wearables, mobile phones, implanted devices) and larger systems (cars, factory equipment, roads and bridges,  supercomputers etc.) need to continuously scale with each successive generations. Moore’s law enables device scaling. Advanced interconnects and packaging technologies enable system-scaling and integration at the package level.  In this presentation, recent developments in interconnects and packaging technologies that will enable mobile devices, and IoT will be discussed.  Some of these packaging technologies include high density fan-out wafer level packaging, passive and active interposers, high throughput chip-on-wafer bonding, as well as wafer level chip scale packaging for MEMS and sensors.

Speaker: 

PKG_Surya BHATTACHARYA_IME_Photov3Dr. Surya Bhattacharya, Director of Industry Development (IPP & TSV Programs)A*STAR Institute of Microelectronics (IME)

Dr. Surya Bhattacharya is Director of Industry Development for Interconnect & Packaging and Through-Silicon Via Programs for A*STAR Institute of Microelectronics (IME). Surya has over 20 years of experience ranging from 0.8micron to 28nanometer CMOS while working in the US semiconductor industry at both Fabless companies and Integrated Device Manufacturers (IDM). He joined IME from Qualcomm CDMA Technologies, San Diego, California, a world leader in semiconductor chips for 3G and LTE mobile phone markets. At Qualcomm, he served as Director of Foundry Engineering while he oversaw technology and manufacturing ramps across multiple foundries in Asia and around the world. Prior to Qualcomm, he was a Principal Foundry Engineer at Broadcom Corporation, Irvine, California, driving CMOS development and manufacturing for Broadcom’s networking and wireless products at Asian foundries. He started his career at Rockwell Semiconductor Systems, Newport Beach, California, where he was Senior Manager for CMOS technology development for Rockwell’s communication products.

Sponsored by Air Products

Air Products has been a leading global supplier of high-purity gases, chemicals, and delivery systems to the electronics industry for over 40 years. We serve all major segments of the industry with a unique combination of offerings, experience, and commitment.  We’re advancing materials science. We’re advancing semiconductors. We’re advancing mobility. What can we help you advance?  www.airproducts.com/advancing

DCG logo w R tagline

 

Lock-in Thermography for Advanced Assembly Qualification

Date: August 26, 2015 at 1:00 p.m. ET

Free to attend

Length: Approximately one hour

NEW register_button

Increasing IoT business opportunities drive a need for new packaging techniques such as FOWLP, Embedded Component Packaging, etc. Such new assembly techniques allow more components and functionality to be integrated into an ever decreasing package space. In parallel the faster product cycle drives the need for faster production ramp to stay competitive. All these challenges highlight the need for a better methodology to determine root cause of assembly-related defects during the new package process qualification process. We will demonstrate a totally non-destructive fault localization method based on a lock-in thermography with examples in these areas.

Speakers: 

SpeakerTameyasu Anayama, Vice President, Business Development

Tam Anayama is the Vice President and General Manager for ELITE product line at DCG Systems.

Mr. Anayama has 15 years of experience in semiconductor equipment industry focusing on product development, sales and marketing of various electrical failure analysis, design characterization and circuit edit systems at Schlumberger, NPTest, Credence and most recently with DCG Systems.

Tam holds a B.S. degree in Mechanical Engineering from Waseda University in Tokyo, Japan. Tam can be reached at [email protected]

Sponsored by DCG Systems, Inc. 

DCG Systems, Inc. is the industry’s leading supplier of systems that enable direct localization and characterization of electrical faults in integrated circuits, packages and board assemblies. DCG Systems are used worldwide throughout the electronics product life cycle, from IC process development and design de-bug, to yield ramp and yield enhancement, to supporting advanced packaging engineering, and finally to failure analysis of customer returns.

DCG Systems has more than 1500 systems deployed worldwide, and serves its global customer base from its headquarters in Fremont, California and its field offices in the United States, Japan, Taiwan, Korea, Malaysia, Singapore, Israel and Germany. For more information about DCG Systems, visit www.dcgsystems.com.

AirProducts-logo-pms347-JPG

The Path to Future Interconnects

Date: August 6, 2015 at 1:00 p.m. ET

Free to attend

Length: Approximately one hour

NEW register_button

Jon Candelaria, Semiconductor Research Corp.’s director of interconnect and packaging sciences, will summarize a SEMICON West Semiconductor Technology Symposium Session focused on interconnects. He’ll describe the challenges for interconnect technology up to the end of the CMOS roadmap, and a few of the alternatives to address them. Next, he’ll discuss possible directions beyond the roadmap, as well as interconnectivity requirements and solution paths for emerging applications.

Speaker:

jon-candelariaJonathan Candelaria, Director, Interconnect and Packaging Sciences, Semiconductor Research Corporation

Jon Candelaria has over 38 years of experience in the electronics industry in a wide variety of engineering and managerial roles. He was a Distinguished Member of the Technical Staff at Motorola’s Applied Research & Technology Center before joining the SRC in September, 2010 as the Director for Interconnect and Packaging Sciences.

He has over a dozen issued patents and published technical articles, and received the Motorola Patent of the Year Award for a foundational backend processing invention which contributed over $1B to Motorola over the course of its lifetime.

He has been an invited speaker on a wide range of topics and has led or participated in many industrial consortia activities such as the Science Advisory Council for the SRC, the National Optoelectronic Technology Research Task Force, the SIA’s ‘Semiconductor Technology Roadmap for CMOS’, and the ‘International Technology Roadmap for Semiconductors’ (ITRS), etc.

He served as Technical Program Chair and General Chair of the IEEE Electron Devices Society’s flagship conference, the IEDM.

He was the V.P. of Conferences for the IEEE’s Electron Devices Society (EDS), the EDS representative on a joint United Nations-IEEE Humanitarian Challenge advisory committee, and was an Executive Committee member of the local IEEE Computer Society, Waves & Devices, and Laser and Electro Optics Society Chapters in Phoenix, Arizona.

He is currently the North American Technical Program Chair for the International Interconnect Technology Conference (IITC)

Sponsored by Air Products

Air Products has been a leading global supplier of high-purity gases, chemicals, and delivery systems to the electronics industry for over 40 years. We serve all major segments of the industry with a unique combination of offerings, experience, and commitment.  We’re advancing materials science. We’re advancing semiconductors. We’re advancing mobility. What can we help you advance?  www.airproducts.com/advancing

DCG logo w R tagline

Isolating Electrical Faults in Advanced IC Devices

Date: July 29, 2015 at 2:00 p.m. ET

Free to attend

Length: Approximately one hour

NEW register_button

Yield improvement and production engineers working on today’s ICs encounter many challenges as defects affecting device operation go undetected by traditional in-line techniques.  Electrical Failure Analysis (EFA) is a suite of techniques that helps the modern day fab increase yields by isolating faults to areas small enough for Physical Failure Analysis (PFA).  In this Webinar, we showcase a few of the proven EFA fault isolation techniques and describe how EFA helps to characterize the underlying defects.

Speakers:

Jordan FineJordan Fine, Applications Engineer, DCG Systems

Jordan Fine is a Staff Applications Development engineer for the Circuit Analysis group at DCG Systems. His primary focus is the development of new optical fault isolation (OFI) techniques for the Meridian and WaferScan platforms. He also supports a worldwide customer base that debugs the most advanced technology nodes using OFI. Dr. Fine holds a Ph.D. from the University of Southern California and has published multiple papers in the fields of molecular physics and electronic failure analysis.

jennifer koppJennifer Kopp, Product Marketing Director, DCG Systems

Jennifer Kopp is the Product Marketing Director for the Meridian optical fault isolation product line at DCG Systems.  She has 15 years experience in the semiconductor capital equipment industry including technical product marketing and applications development roles in the Electrical Failure Analysis, Inspection & Metrology, and process equipment segments. Jennifer holds a B.S. degree in Chemical Engineering from Cornell University and lives in Fremont, California.  She can be reached at [email protected]

Sponsored by DCG Systems, Inc.

DCG Systems, Inc. is the industry’s leading supplier of systems that enable direct localization and characterization of electrical faults in integrated circuits, packages and board assemblies. DCG Systems are used worldwide throughout the electronics product life cycle, from IC process development and design de-bug, to yield ramp and yield enhancement, to supporting advanced packaging engineering, and finally to failure analysis of customer returns.

DCG Systems has more than 1500 systems deployed worldwide, and serves its global customer base from its headquarters in Fremont, California and its field offices in the United States, Japan, Taiwan, Korea, Malaysia, Singapore, Israel and Germany. For more information about DCG Systems, visit www.dcgsystems.com.

Epicor-logo-Business Inspired-2color-CMYK

Maximize Lean Strategies with Mobile Technologies on the Plant Floor and Beyond

Date: June 18, 2015 at 2:00 p.m. ET

Free to attend

Length: Approximately one hour

NEW register_button

Employing mobile technologies to bring plant collaboration into the 21st century can be one of the most effective efficiency investments to maximize lean strategies an electronics or high-tech manufacturer can make. It is becoming ever clearer that a mobile communication strategy consistent with the pace of life today, one capable of alerting the right people at the right time about critical issues can have a big impact on reducing wasteful practices, cutting costs, and increasing quality of fabrication operations.

Mobile technologies progressively working with your critical ERP systems can provide streamlined workflow to enhance lean strategies.  Likewise, empowering employees on the plant floor and beyond with information and recording results “at the point” of activity provides heightened traceability and accuracy.

Join us for this session with Kathie Poindexter, manager of product marketing manufacturing and supply chain for Epicor Software Corporation, on the factors to maximize lean strategies with mobile technologies. With the rise of the mobile workforce, mobility is truly an ideal ‘lean’ enabler. In this session we will examine the top 10 areas where mobility can have the most impact on the success of your lean initiatives. Mobility is capable of stripping wasted time and errors out of virtually any process in any and all of your business functions, including fabrication, making mobility the ultimate platform to take your semiconductor manufacturing operations to the next level of lean.

Speaker:

Poindexter Full size CroppedKathie Poindexter, Manager, Product Marketing Manufacturing and Supply Chain

Kathie Poindexter joined Epicor Software in 2010, bringing more than 25 years success in the Electronics & High Tech and Aerospace & Defense industries as well as implementation consulting and product marketing for enterprise resource planning (ERP) solutions. In her current position as manager, product marketing manufacturing and supply chain, Poindexter is responsible for leading and developing Epicor’s initiatives in the growing and dynamic ETO and project manufacturing market sector. Her industry experience is invaluable to helping Epicor build strong market share within this key manufacturing vertical.

Prior to joining Epicor Poindexter spent a number of years as a senior solution engineer providing ERP pre-sale and consulting support for both commercial and public sector A&D, ETO and project-based manufacturing businesses. Prior to moving into the software industry, Poindexter had an extensive background in manufacturing operations, finance, government contract compliance, and ERP project implementation,  in management positions at Cubic Defense Applications, Lockheed Martin and General Dynamics.

Poindexter attended University of Redlands, California earning a Bachelor of Science in Business Administration and a Master of Arts in Management.

Sponsored by Epicor Software Corporation

Epicor Software Corporation is a global leader delivering inspired business software solutions to the manufacturing, distribution, retail and services industries. With over 40 years of experience serving small, midmarket and larger enterprises, Epicor enterprise resource planning (ERP), production control software (MES), and supply chain management (SCM), enable companies to drive increased efficiency and improve profitability. With a history of innovation, industry expertise and passion for excellence, Epicor provides the single point of accountability that local, regional and global businesses demand.