Tag Archives: letter-semi-business

James C. Morgan will be a special guest presenter during the SEMICON West keynote session this morning at the Yerba Buena Center. Morgan is a director emeritus and past president of SEMI, and was one of SEMI’s early supporters, back when the organization consisted of an “executive secretary, two clerks, and eight board members.”

Jim will be discussing the semiconductor equipment industry and introducing his autobiography and book of business insights, Applied Wisdom: Bad News Is Good News and Other Insights That Can Help Anyone Be a Better Manager. Dan Hutcheson, CEO of VLSI Research, says that he would “definitely put this book on the shelf next to Andy Grove’s Only the Paranoid Survive.”

Complimentary copies of the ebook will be made available to all attendees of this year’s Semicon West conference.

Jim Morgan will also be signing paperback books at booth 5630 in the North Hall at Moscone Center on June 11 and 12.

James C. Morgan ran Applied Materials for nearly three decades—one of the longest tenures of any Fortune 500 CEO. The company was near-bankrupt when he joined; when he retired as CEO in 2003, Applied was a multi-billion dollar global leader with more than 15,000 employees.

More recently he and his wife Becky founded the Northern Sierra Partnership, which fosters collaboration among conservation organizations in order to preserve and restore one of the world’s great mountain ranges.

appliedwisdombook

 

SEMI today announced that Mike Allison, president of the Semiconductor Division at Edwards, and Daisuke Murata, president and CEO of Murata Machinery, were elected as new directors to the SEMI International Board of Directors in accordance with the association’s by-laws.

Four current board members were re-elected for two-year terms: Bertrand Loy, president and CEO of Entegris; Stephen Schwartz, president and CEO of Brooks Automation; Kyu Dong (KD) Sung, CEO of EO Technics; and Xinchao Wang, chairman of JCET.

Additionally, the SEMI Executive Committee confirmed Tetsuo Tsuneishi, chairman of the Board of Tokyo Electron, Ltd., as chairman of the SEMI Executive Committee. SEMI also confirmed Bertrand Loy, president and CEO of Entegris, as vice-chairman.

The leadership appointments and the elected board members’ tenure become effective at the annual SEMI membership meeting on July 12, during SEMICON West 2017 in San Francisco, Calif.

“The SEMI Board of Directors is comprised of recognized global business leaders who represent SEMI members and the industry, ensuring that SEMI develops and delivers member value in all regions,” said SEMI president and CEO Ajit Manocha.  “We congratulate the re-elected members, welcome Mike Allison and Murata-san to the Board, and are greatly appreciative for all of our board members’ contributions to the industry.”

SEMI’s 19 voting directors and 11 emeritus directors represent companies from Europe, China, Japan, Korea, North America, and Taiwan, reflecting the global scope of the association’s activities. SEMI directors are elected by the general membership as voting members of the board and can serve a total of five two-year terms.

200mm fabs thriving


July 11, 2017

Today, at SEMICON West in San Francisco, Calif., SEMI issued an update to its 200mm Fab Outlook report, with improved and expanded report forecasting of 200mm fab trends out to 2021.  SEMI’s analysts updated information on over 100 facilities, including the latest new facilities and investment projects in China. The extensive new report “Global 200mm Fab Outlook to 2021” by SEMI tracks production, pilot, and R&D 200mm facilities worldwide with special attention on capacity expansions and new facilities.

Examining 200mm capacity over the years, the highest level of 200mm capacity was recorded in 2007 and the lowest following this peak in 2009 (see figure). The capacity decline from 2007 to 2009 was driven by the 2008-2009 global financial crisis, which caused the closure of many facilities, and by the transition of memory and MPU fabrication to 300mm fabs from 200mm.

Since 2009, installed 200mm fab capacity has increased, and by 2020, 200mm capacity is expected to reach almost 5.7 million wafers per month (wpm), and poised to surpass the 2007 peak.  According to SEMI’s World Fab Forecast data, by the end of this year, installed capacity will reach close to 5.4 million wpm.

200mm fab count

Driven by mobile and wireless applications, IOT, and automotive, the 200mm market is thriving.  Many of these products found their niche on 200mm wafers, so companies are expanding capacity in their facilities to the limit, and nine new 200mm facilities are in the pipeline. Looking only at IC volume fabs, the report shows 188 fabs in production in 2016 and expanding to 197 fabs by 2021.

China will add most of the 200mm capacity through 2021 with 34 percent growth rate from 2017 to 2021, followed by South East Asia with 29 percent and the Americas with 12 percent.

The Global 200mm Fab Outlook to 2021, published by SEMI in July 2017, includes two files: a 92-page pdf file featuring trend charts, tables and summaries and an Excel file covering 2010 to 2021 detailing on quarterly basis and fab-by-fab developments.  This is the third update since the report was first launched in 2015.  Since the last report was published in November 2016, the SEMI analyst team has made 232 changes or updates to 132 fabs. The report tracks over 300 facilities using 200mm wafers from R&D, EPI, LED fabs to volume IC fabs.  For more information, visit: http://info.semi.org/semi-200mm-fab-outlook

 

MEMS & Sensors Industry Group® (MSIG), the industry association advancing MEMS and sensors across global markets, is hosting a TechXPOT program today, titled “What’s Next for MEMS & Sensors: Big Growth of Disruptive Applications for Smart Sensing Changes the Business.” Speakers from industry and academia will explore the disruptive influence of MEMS and sensors on applications that span human-machine interfaces, disposable wireless electronics, and wireless sensor nodes for smart cities. They will also discuss advancements in piezoelectric materials for emerging applications as well as MEMS foundry process technologies that speed time to market.

“From smart autos and smart manufacturing to smart cities and smart health monitoring, emerging markets for MEMS and sensors are creating greater demand for integrated intelligence,” said Karen Lightman, vice president, MEMS & Sensors Industry Group, SEMI. “MSIG speakers at SEMICON West will help MEMS and sensors suppliers to more ably respond to this demand, as they learn how to add value through technological innovation and integration.”

Topics and presenters at the MEMS program at the TechXPOT include:

  • What’s Next for the MEMS Industry? ─ Jean-Christophe Eloy, CEO and founder, Yole Développement
  • New MEMS Opportunities from Piezoelectric Technology ─ David Horsley, professor, Mechanical & Aerospace Engineering, University of California Davis
  • Smart IT Systems and Development Protocols Enable Faster Time-to-Market in MEMS ─ Tomas Bauer, senior VP, sales/business development, Silex Microsystems
  • Waggle and the Future of Edge Computing and Smart Cities ─ Pete Beckman, co-director, Northwestern-Argonne Institute for Science and Engineering
  • Roll-up Implementation of Gesture Sensing and Voice Isolation Sensing Wall for Future Human-Machine Interface ─ James Sturm, professor, Electrical Engineering, Princeton University
  • Three Bit NFC Sensor Labels Based on a Flexible, Hybrid Printed CMOS TFT Process ─ Arvind Kamath, VP of Engineering, Thin Film Electronics

MSIG also invites members to attend the MEMS/NEMS Committee Meeting, including a Task Force on microfluidics, from 3:30-5:30 pm on July 13 at the San Francisco Marriott Marquis.

The Moscone Center will be undergoing major construction during Semicon West week. The major impact to attendees is that the South Hall will be closed to allow for new construction, so Semicon will take place in the North Hall and the first floor of the West Hall. The crosswalks at the corner of 3rd and Howell will also be closed.  The Intersolar North America show will occupy the West Hall Floor3 and half of the West Hall Floor2. ees North America – The International Exhibition for Batteries and Energy Storage Systems – will occupy the other half of Floor2. Expect heavy demolition operations to be underway nearby.

The Moscone Expansion Project plans to meet that need by expanding contiguous exhibition space as well as increasing the amount of flexible meeting and ballroom spaces.

In addition to adding new rentable square footage, the project architects – Skidmore, Owings and Merrill – seek to create an iconic sense of arrival that enhances Moscone’s civic presence on Howard Street and reconnects it to the surrounding neighborhood through the creation of reintroduced lost mid-block passageways. As such, the project proposes two new, enclosed pedestrian bridges connecting the upper levels of the new Moscone North and Moscone South as well as an upgrade to the existing pedestrian bridge across Howard Street. This would help to frame the main public arrival space between the two new buildings, provide enhanced circulation for Moscone convention attendees, and reduce on-street congestion all while maintaining full-time elevated public access across Howard Street from Yerba Buena Gardens to the cultural facilities.

Architect's Sketch of New Moscone

Architect’s Sketch of New Moscone

SEMI added a new high-profile program on China to its 2017 conference lineup for SEMICON West. Today at the Yerba Buena Theater, the China Strategic Innovation & Investment Forum will focus on the extensive business opportunities resulting from the semiconductor industry’s largest regional growth spurt now occurring in China.

While the global semiconductor industry continues to consolidate through large-scale mergers and acquisitions, China is embarking on a new round of expansion with heavy investment from public and private funding. China’s semiconductor industry is growing at an explosive rate, leading the rest of the world with a projected increase of 68 percent in fab equipment spending year-over-year (2017 to 2018), according to the May 2017 SEMI World Fab Forecast. China will be equipping over 50 facilities through 2018, and is forecast to spend more than US$11 billion.

The rise of the semiconductor industry in China need not be viewed as a threat to other global players, says SEMI, but rather as a significant driver of growth and business opportunity for suppliers worldwide. With its low indigenous market share for chips and nascent technical breadth in IC design, manufacturing, packaging, testing, equipment, and materials, China has become an enormous market for suppliers across the supply chain. In fact, ICs still top the list of all Chinese bulk imports in terms of U.S. dollar value.

At the China Strategic Innovation & Investment Forum, semiconductor and investment executives, as well as key China government and trade officials will share their views on the industry’s evolution and offer insights on growth, investment opportunities, M&A, and the latest innovations emerging in China. Attendees will hear from C-Level executives from Ali Cloud, AMEC, Applied Materials Venture Capital Group, Goldman Sachs, Verisilicon, Walden International, SEMI China, and more. An hour-long panel discussion, moderated by Lung Chu, president of SEMI China, will feature speakers and a Q&A session. With access to China experts presenting and multiple networking opportunities, the China forum will offer a collaborative platform where markets, technology, talent, and funding can meet up for mutual benefit.

Yerba Buena

SEMI has enriched this year’s SEMICON West by offering new, timely programs and forums for attendees to engage with peers and presenters at the three-day industry event.

“As our industry changes, we’re changing too,” said Dave Anderson, president, SEMI Americas. “Our programs are now organized around specific “Smart” applications, technologies, and adjacencies such as Smart Automotive, Advanced Packaging, 5G, and IoT, making it easy for attendees to focus on their specific needs,” he added. “We’ve reenergized the entire exposition and conference to put a laser focus on addressing challenges, finding solutions, and giving attendees everything they need to thrive in today’s disruptive business environment.”

SEMICON West offers 115 hours of advanced programming and more than 600 exhibits. The industry’s flagship event, re-imagined for 2017, will also feature inaugural programs, including:

  • China Strategic Innovation & Investment Forum — China’s semiconductor industry is going through an explosive growth phase. IC and investment executives will share their views on the industry’s evolution and offer insights on investment and M&A trends in China.
  • Meeting the Challenges of the 4th Industrial Revolution along the Microelectronics Supply Chain — The executive panel will address the opportunities and challenges facing the industry as the era of Big Data fuses the physical and digital worlds.
  • Smart Automotive, 5G Communications, MEMS & Sensors, MedTech and more will be discussed in the expanded TechXPOT sessions on the show floor.
  • IEEE Embedded Systems Training Workshops for IoT —Two exclusive IEEE workshops will cover embedded system software development, the key to optimizing performance and power in IoT devices and applications.
  • New Ways to Engage & Network — The new SMART Journey on the exposition floor will deliver stunning, hyper-visual insights into the microelectronics innovations that are revolutionizing the manufacturing supply chain, automotive, and everyday living. At the Journey’s Meet the Experts Theater, attendees will hear how breakthroughs in processes, packaging, and AI are changing the world.
  • Career Development — Amid competition from trendy companies like Google and Facebook, the semiconductor industry also needs to attract the best and the brightest. At the MicroE Career Development & Recruitment Forum, job seekers will learn about opportunities at some of the leading names in electronics.
  • On the fun front, the Summerfest at AT&T Park, home of the San Francisco Giants, will provide a bit of R&R as conference attendees network and watch the All-Star Game live on the stadium’s Big Screen.

In addition, SEMICON West features keynote talks from industry luminaries including Tetsuro Higashi of TEL, Tom Caulfield of GLOBALFOUNDRIES, Kathy Winters of Intel, and special guest Jim Morgan of Applied Materials. The World of IoT: Understanding Risks and Opportunities in Transformative Technologies — focuses on key IoT applications, big data and security, including solutions for home automation, smart cities, industrial monitoring, medical and healthcare, environmental monitoring, agriculture, and more. All of this, along with traditional favorites such as the SEMI/Gartner Bulls & Bears Industry Outlook, will be held at SEMICON West 2017.

To increase engagement with speakers and colleagues and enhance the overall Expo experience, attendees are encouraged to download the new SEMICON West Mobile App at the App Store or Google Play.

By Paula Doe, SEMI

SEMI adds a new speaker program called “Meet the Experts” at SEMICON West (July 11-13) in San Francisco this year. Complementing the more formal TechXPOTs, “Meet the Experts” is on a smaller scale, more relaxed format, with more time for discussion, and a wider variety of speakers. We’ve invited 25 diverse experts to speak on the challenges and opportunities for the semiconductor supply chain from smart automobiles and the Internet of Things, and the smarter next-generation manufacturing technology needed to enable this smart, connected future.

What does the IoT really mean for the semiconductor world?

The exciting emerging opportunities for smart connected objects ─ from cars to industrial systems ─ that are changing our lives also mean change for our semiconductor manufacturing business, suggests Tom Walsh, president of Tokyo Electron NEXX, who will speak on the issue in the new Meet the Experts program at SEMICON West on July 12. “These new applications require many and various new materials and packaging solutions,” he says. “But the technical challenges are not as big as the economic ones —these automotive and consumer products need equipment that is cheaper than the typical advanced front end tools, and fewer tools will be required to manufacture some of the smaller die.” That means more standardized baselines platforms for packaging, and more options for creative solutions to retrofit existing tools for new applications. “Maybe we can remove some unneeded features — sort of like taking out the heated seats,” he quips, to meet a specific technical need at lower cost.

The wildcard for this new reality is augmented reality headsets. “If eye-glasses come to replace the mobile phone as consumers’ main device, that changes the world,” he notes.

Emerging industrial applications for virtual reality

In the past year the automotive industry has increasingly come to rely on virtual reality for mockups and design reviews to save the time and cost involved in making clay models, and the technology is also finding use in employee training and the semiconductor supply chain, notes David Chang, HTC director of Corporate Development and Partnerships, another speaker in the SEMICON West “Meet the Experts” program. “We’re starting to see some users in the semiconductor industry use VR for exposition displays,” he says, noting the appeal of the more immersive working demonstrations of equipment without the cost and limitations of bring actual equipment to a show. “Virtual reality isn’t just for gaming any more, but for serious business,” he contends. HTC will be demonstrating automotive and manufacturing applications of virtual reality in the Smart Journey area at SEMICON West.

Chang says the 2Kbit resolution and 90 frames/second refresh of the HTC VIVE system is now close to that of human vision, and the lighthouse base-station system that tracks the position and orientation of the head adjusts appropriately for a realistic view that makes the system sufficiently precise for serious industrial applications. It integrates with Dassault Système’s CATiA CAD software so designers can physically view their designs without extra effort.

New data base tracks packaging technologies across the changing OSAT sector

As the OSAT industry matures, the way we do packaging and assembly is changing dramatically, as advanced packaging moves more to a wafer-level technology in a more fab-like environment, and much of the growth moves to China, notes Jan Vardaman, president of TechSearch International, speaking in the Advanced Packaging program.  She’ll introduce the new Worldwide OSAT Manufacturing Site Database, developed by SEMI and TechSearch, which tracks what types of packages are made in which facilities of 120 OSATs worldwide. Based on two years of factory visits and personal interviews, this detailed analysis allows fabless companies to easily see the full options for the packaging and assembly technologies they need, and equipment and materials suppliers to quickly see the needs of customers worldwide. “I think this is one of the greatest services that SEMI can offer its audience, says Vardaman. “It will save people a huge amount of time.”

Big changes from artificial intelligence

A number of other speakers at SEMICON West will focus on the use of data analytics, machine learning, and other types of AI in enabling the emerging generation of both semiconductor applications and semiconductor manufacturing. GE Global Research Lead Machine learning researcher Weina Ge, Ericsson Research director of IoT & Analytics Zsolt Parnaki, and NVIDIA technical marketing lead Tim Wong will cover automotive and IoT applications, while Coventor CTO David Fried, Nanotronics CRO Justin Stanwix, Motivo Data Analytics CTO Luigi Capodieci, and Siemens director, Industry Solutions, PLM Software, Tim Hewitt will address uses in semiconductor manufacturing.

Speakers from Lawrence Livermore National Lab, Multibeam Corp, NeoSpectra, NXP, Quarnergy Systems, SAE, Synopsis, andYole Développement are also talking on automotive and IoT technologies. ASE, ASM Pacific Technology, Edwards Vacuum, EV Group, SPTS, and the Heterogeneous Integration Roadmap will cover next generation IC process and packaging issues.

These SEMICON West 2017 programs, included in the basic Expo Only pass, run all day within the Smart Journey demonstration area in West Hall, with its virtual and augmented reality experiences which highlight the smart, connected future.

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, today announced the SIA Board of Directors has elected Tunç Doluca, President and CEO of Maxim Integrated Products, Inc. (NASDAQ: MXIM), as its 2017 Chair and Mark Durcan, CEO and Director of Micron Technology, Inc. (NASDAQ: MU), as its 2017 Vice Chair.

“We are excited to welcome Tunç Doluca as SIA’s 2017 Chair and Mark Durcan as SIA’s 2017 Vice Chair,” said John Neuffer, SIA President and CEO. “Both Tunç and Mark have tremendous technical backgrounds and each has more than three decades of experience driving research and innovation on behalf of their companies and our industry. With their many accomplishments, they will provide strong leadership for SIA and the industry in 2017.”

Tunç Doluca joined Maxim in 1984 as a design engineer and was named the company’s Vice President of R&D in 1993. In 2007, Tunç became the second CEO in the company’s history. During his tenure as CEO, he strengthened Maxim’s commitment to building a successful portfolio of innovative products by focusing product development around end market applications. He led the transition to a more flexible hybrid production model to improve overall manufacturing execution, and played a critical role in making Maxim one of the first analog companies to transition to 300mm wafer technology. Under Tunç’s leadership, Maxim has become a leading supplier of innovative analog, power, and mixed-signal products for new markets and applications that are making a positive impact on the world. Tunç holds a BSEE degree from Iowa State University and an MSEE degree from the University of California, Santa Barbara.

“The semiconductor industry drives innovation and growth across the United States and around the world by enabling the systems and products we use to work, communicate, manufacture, and make new scientific discoveries,” said Doluca. “Now more than ever before, SIA’s work to advance manufacturing and semiconductor research, expand markets, and defend against threats is vital. I look forward to contributing to that effort in 2017 as SIA chair and helping to ensure the U.S. semiconductor industry remains the world’s most innovative sector.”

A 32-year company veteran, Mark Durcan rose from his first role as a Process Integration Engineer to Chief Technical Officer, President, and, ultimately, CEO in 2012. Under his leadership, the company has delivered the continual innovation that makes Micron one of the top memory manufacturers in the world. Mark is a key technical decision maker guiding Micron’s next-generation technologies to market. He is also the Chairman of the Micron Technology Foundation, Inc., which was formed to advance STEM education and support civic and charitable institutions in the communities where Micron has facilities. Mark earned both bachelor’s and master’s degrees in chemical engineering from Rice University.

“It is an honor to serve as 2017 SIA vice chairman,” said Durcan. “Serving as the voice and chief advocate for our industry, SIA unites around common challenges and seeks to advance government policies that promote U.S. competitiveness and remove barriers to innovation. I look forward to working alongside my colleagues to promote the semiconductor industry’s policy priorities in the coming year.”

About SIA

The Semiconductor Industry Association (SIA) is the voice of the U.S. semiconductor industry, one of America’s top export industries and a key driver of America’s economic strength, national security, and global competitiveness. Semiconductors – microchips that control all modern electronics – enable the systems and products we use to work, communicate, travel, entertain, harness energy, treat illness, and make new scientific discoveries. The semiconductor industry directly employs nearly a quarter of a million people in the U.S. In 2015, U.S. semiconductor company sales totaled $166 billion, and semiconductors make the global trillion dollar electronics industry possible. SIA seeks to strengthen U.S. leadership of semiconductor manufacturing, design, and research by working with Congress, the Administration and other key industry stakeholders to encourage policies and regulations that fuel innovation, propel business and drive international competition. Learn more at www.semiconductors.org.

NSTAR Global Services is now offering facilities management services for operations and maintenance (O&M) of installed OEM facility equipment or previously constructed systems that support fab operations in high-tech industries. As fab/facilities owners look to optimize their operating costs in production support areas, NSTAR offers to reduce fixed overhead costs and provide a flexible workforce solution to reduce fixed headcount while still allowing the client to maintain control over its operations and results.

“NSTAR’s facilities services can apply to both new and already-existing fabs, helping them to ramp up their operations and hand over existing staff to outsource part or entire sections of facilities O&M,” said Darrell McDaniel, President of NSTAR Global Services. “This new service solution expands on NSTAR’s already-existing service expertise, working with IDMs and other facilities’ owners to deliver a fixed fee solution, helping the client to save money and reduce risks.”

“We have a stringent policy on safety, so all of our staff is fully trained for on-site processes as well as mandatory safety protocols,” stated Hardev Grewal, VP of Business Development at NSTAR. “Our customers appreciate the availability and reliability of our qualified personnel.”

NSTAR has a well-tested method to implement services at any fab/facility, and customize them to clients’ needs. These new facilities services have already been implemented by several major tier-one OEMs in the United States. In the past, facilities services have traditionally been performed in-house or completely outsourced to a third-party vendor. Instead, NSTAR’s approach to facilities services uses already-proven methods, allowing clients to ultimately maintain control over their operations rather than depend entirely on third-party processes.