Tag Archives: letter-wafer-business

Brooks Instrument, a developer of advanced flow, pressure, vacuum and vapor delivery solutions, has licensed its direct liquid injection (DLI) vaporizer technology to Ceres Technologies, Inc., headquartered in Saugerties, NY.

The Brooks Instrument DLI vaporizer incorporates unique atomization and heat exchanger technologies to deliver pure vapor for a wide range of processes, including chemical vapor deposition (CVD), metal organic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). This unique technology has been applied successfully for more than a decade for precise vaporization of a wide range of liquids and liquid precursors. It overcomes the weaknesses of flash vaporizers, which can include thermal decomposition and incomplete vaporization.

Ceres Technologies is a global manufacturer of ultra-high purity gas, vapor and liquid delivery solutions for the semiconductor, compound semiconductor, fiber optic and solar industries. Ceres’ products include gas cabinets, gas blending systems, valve manifold boxes (VMBs) and vapor delivery systems.Ceres’ bulk/centralized vapor delivery systems, such as its VaporGen products based on patented SMR (self-metering reservoir) flash evaporators, and VaporStation™ products based on patented smart bubbler technology, have been used in fabs for more than a decade.

“Ceres’ extensive fluid delivery experience and their broad range of vaporization solutions will continue to expand the market for Brooks Instrument flow control technology,” said Jarek Pisera, Semiconductor Business Unit Manager at Brooks Instrument. “With their system integration capabilities, Ceres is in the unique position of providing customized turnkey solutions for OEMs as well as end-users.”

The Brooks Instrument Quantim™ Coriolis liquid mass flow controller and GF100 Series gas mass flow controller are provided in conjunction with the DLI vaporizer for precise mass flow measurement with fast-response “vapor on demand.”

“Brooks Instrument products are known worldwide for their precision, quality and reliability,” added Kevin Brady, President of Ceres Technologies. “Ceres has incorporated Brooks Instrument products into many of our present product designs. Licensing their DLI vaporizer technology is a key component as we expand our vapor delivery product line into newer liquid precursors.”

Broadcom Inc. (NASDAQ: AVGO) announced today that its board of directors has appointed Diane M. Bryant as an independent director, and as a member of its compensation committee.

Ms. Bryant has more than three decades of executive leadership in the global semiconductor, enterprise IT solution development and deployment, and cloud computing services industries. Most recently, Ms. Bryant served as the Chief Operating Officer of Google Cloud, where she focused on accelerating the scale and reach of Google Cloud’s business, including optimization of the global supply chain, acceleration of customer adoption, and development of next generation information technology solutions.

Prior to Google Cloud, Ms. Bryant spent 32 years at Intel, most recently serving as Group President of Intel’s Data Center Group, the worldwide organization that develops server, storage and network platforms for the digital services economy, in 2017, having led that group since 2012. Before becoming Group President, Ms. Bryant served as Intel’s Corporate Vice President and Chief Information Officer, responsible for the corporate-wide information technology solutions and services that enable Intel’s business.

Ms. Bryant also serves on the board of directors of United Technologies Corporation, and on its audit and finance committees, and on the U.C. Davis Chancellor’s Board of Advisors and U.C. Davis College of Engineering Board of Advisors.

“Diane is a deeply experienced technologist and proven business leader with tremendous operational and strategic knowledge in cloud computing and enterprise IT which will be invaluable to Broadcom as we continue to expand our product offerings,” said Henry Samueli, Chairman of Broadcom’s board of directors.

Ms. Bryant received her bachelor’s degree in electrical engineering from U.C. Davis in 1985. She attended Stanford Graduate School of Business, completing the Executive Program in 2011. Ms. Bryant holds four U.S. patents in mobile computing.

SEMI, the global industry association serving the electronics manufacturing supply chain, today announced that Mike Russo has joined SEMI as vice president of Global Industry Advocacy, based in the company’s Washington D.C. office. Reporting to SEMI President and CEO Ajit Manocha, Russo oversees SEMI’s government relations program and advocacy efforts worldwide, leading the development and execution of strategies to strengthen SEMI’s public policy program and the association’s initiatives addressing the broader semiconductor industry’s talent gap, a top SEMI priority.

“In light of the changing geopolitical dynamics around the world seriously impacting our industry, we are thrilled to welcome government affairs veteran Mike to SEMI,” said Manocha. “His arrival at SEMI to support SEMI’s Global Advocacy mission is very timely. Mike is a high-impact leader with rich public policy experience in the semiconductor industry and an invaluable asset to SEMI and our members as we advocate for the industry across trade, tax, technology and talent. Already, Mike is broadening the scope of SEMI’s advocacy work with global programs that address the industry’s critical need to build the workforce of the future.”

Russo’s experience as a government affairs executive in the semiconductor industry includes spearheading strategic initiatives in supply chain innovation, infrastructure development, education and workforce development. Most recently, he served as president of Entregar Consulting Group, a firm focused on strategic, public-private partnerships in manufacturing and technology.

For nearly a decade, Russo led the U.S. corporate office of government affairs for GLOBALFOUNDRIES, the nation’s largest global contract semiconductor chipmaker. In that role, Russo oversaw government relations, regulatory affairs and strategic initiatives.

In government, Russo served as a senior staff member in both the Senate and House and has served in various capacities as an advisor to the U.S. government on manufacturing industrial base policy, including leading the national advisory group for the former National Network of Manufacturing Innovation (NNMI), now Manufacturing USA, under the President’s Council of Advisors on Science and Technology  for Advanced Manufacturing Partnership (AMP).

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, today announced worldwide sales of semiconductors reached $41.4 billion for the month of November 2018, an increase of 9.8 percent from the November 2017 total of $37.7 billionand 1.1 percent less than the October 2018 total of $41.8 billion. Monthly sales are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

“The global semiconductor industry continues to post solid year-to-year sales increases, and year-to-date revenue through November has surpassed annual sales from all of 2017, but growth has slowed somewhat in recent months,” said John Neuffer, SIA president and CEO. “Year-to-year sales increased in November across all major regional markets, with the China market standing out with growth of 17 percent. Double-digit annual growth is expected for 2018 once December’s sales are tallied, with more modest growth projected for 2019.”

Regionally, year-to-year sales increased in China (17.4 percent), the Americas (8.8 percent), Europe (5.8 percent), Japan(5.6 percent), and Asia Pacific/All Other (4.4 percent). Compared with last month, sales were up in Asia Pacific/All Other (1.1 percent), Europe (0.5 percent), and Japan (0.4 percent), but down slightly in the Americas (-2.2 percent) and China(-2.7 percent).

Unwavering in its drive to build a strong, self-sufficient semiconductor supply chain, China plans more new fab projects than any other region in the world from 2017 to 2020, and its expansion of fab capacity recently picked up pace on the strength of new foundry and memory projects from both domestic and foreign companies, according to SEMI’s 2018 China Semiconductor Silicon Wafer Outlook report. China’s installed fab capacity is forecast to grow at a 12 percent CAGR from 2.3 million wafers per month (wpm) in 2015 to 4 million wpm in 2020, faster than all other regions.

Well known for its semiconductor packaging prowess, China in recent years shifted its focus to front-end semiconductor fabs and a few key material markets. In 2018, the region’s surge in fab investment thrust it past Taiwan as the second largest capital equipment market in the world, behind only Korea.

However, China’s semiconductor manufacturing growth faces strong headwinds. Chief among them is the tight supply of silicon wafers over the past two years due in large part to the sector oligopoly’s firm control of global production, with the top five wafer manufacturers accounting for over 90 percent of market revenue. In response, China’s central and local governments has made the development of its domestic silicon wafer supply chain a key initiative, funding multiple silicon wafer manufacturing projects.

According to the 2018 China Semiconductor Silicon Wafer Outlook report, many of China’s domestic silicon suppliers capably provide wafers 150mm in size and smaller. And the while the region lags peers in 200m and 300mm processing technology and capacity, strong domestic demand and favorable policies have fueled progress in 200mm and 300mm silicon manufacturing with some Chinese suppliers having reached key large-diameter manufacturing milestones.

However, it will take these new suppliers several years before they can meet capacity and yield requirements of the larger-diameter silicon wafer market. Company plans and announcements indicate that by the end of 2020, total silicon supply capacity in China will reach 1.3 million wpm for 200mm, possibly leading to a slight oversupply, and 750,000 wpm for 300mm.

China’s equipment suppliers, particularly crystal furnace vendors, are also investing in the development of 300mm wafer manufacturing, and domestic tool suppliers have developed most of the necessary tools for wafer manufacturing, except for inspection.

While China’s silicon wafer suppliers continue to lag international peers in manufacturing capabilities, the region’s silicon manufacturing ecosystem is maturing and becoming better integrated. The sector’s growth is driven and accelerated by significant domestic market demand and favorable policies.

IBM (NYSE:  IBM) today announced an agreement with Samsung to manufacture 7-nanometer (nm) microprocessors for IBM Power Systems, IBM Z and LinuxONE, high-performance computing (HPC) systems, and cloud offerings.

The agreement combines Samsung’s industry-leading semiconductor manufacturing with IBM’s high-performance CPU designs. This combination is being designed to drive unmatched systems performance, including acceleration, memory and I/O bandwidth, encryption and compression speed, as well as system scaling. It positions IBM and Samsung as strategic partners leading the new era of high-performance computing specifically designed for AI.

“At IBM, our first priority is our clients,” said John Acocella, Vice President of Enterprise Systems and Technology Development for IBM Systems. “IBM selected Samsung to build our next generation of microprocessors because they share our level of commitment to the performance, reliability, security, and innovation that will position our clients for continued success on the next generation of IBM hardware.”

Today’s announcement also expands and extends the 15-year strategic process technology R&D partnership between the two companies which, as part of IBM’s Research Alliance, includes many industry firsts such as the first NanoSheet Device innovation for sub 5nm, the production of the industry’s first 7nm test chip and the first High-K Metal Gate foundry manufacturing. IBM’s Research Alliance ecosystem continues to define the leadership roadmap for the semiconductor industry.

“We are excited to expand our decade-long strategic relationship with IBM with our 7nm EUV process technology,” said Ryan Lee, Vice President of Foundry Marketing at Samsung Electronics. “This collaboration is an important milestone for Samsung’s foundry business as it signifies confidence in Samsung’s cutting-edge high performance EUV process technology.”

Samsung is a member of the OpenPOWER Foundation, a vendor ecosystem facilitating the development of IBM Power architecture-based customized servers, networking and storage for future data centers and cloud computing. Samsung is also a member of the Q Network to help advance the understanding of applications software in quantum computing for the industry.

Over a long period, industrial companies followed up at a distance the development of GaN-based solutions mainly managed by R&D institutes and laboratories. Today the context has changed.
Under the updated of its annual report, Power GaN: Epitaxy, Devices, Applications and Technology Trends, Yole Développement (Yole) identified, a lot of power electronics & compound semiconductor companies including leading players such as Infineon Technologies, STMicroelectronics… strongly engaged in significant projects of development. Some of them already introduce in their portfolio a GaN product. But it is not the majority. So what is the status of GaN technologies? Can we affirm a clear adoption of GaN products? What would be the main applications?… Business dream or reality, the power GaN industry has been deeply analyzed by the Power & Wireless team from Yole. The analysts propose you today to discover a snapshot of this industry.

Today, it is crystal-clear that, from theoretical point of view, GaN offers fantastic technical advantages over traditional Si MOSFETs; the technology is very appealing, and more and more players are entering; moreover the lowering of prices could make GaN devices a good competitor of the currently used Si-based power switching transistors.

“Nevertheless the technical panorama is not clear yet; every manufacturer presents its solution on die design and packaging integration. This brings to a strong competition which will accelerate technical innovations in terms of integration and better performances,” says Elena Barbarini, PhD, Head of Department Semiconductors Devices at System Plus Consulting.

Even though the current GaN power market remains tiny compared to US$32.8 billion silicon power market, GaN devices are penetrating confidently into different applications.

The biggest segment in the power GaN market is still power supply applications, i.e. fast charging for cellphones. This year, Navitas and Exagan introduced 45W fast-charging power adaptors with an integrated GaN solution. Then, LiDAR applications are high-end solutions that take full benefit of high-frequency switching in GaN power devices.

And what about the EV/HEV market? What is the status of GaN solutions in a market segment step by step dominated by SiC technology replacing Si IGBTs in main inverters? Therefore, Yole announces a US$450 million SiC market in 2023 in its Power SiC report.

“The accumulation of the market growth in various applicative markets, especially the power supply market segment which is the most important in that case, confirms our first scenario,” comments Ana Villamor, PhD, Technology & Market Analyst at Yole.“Under this Base Case scenario, GaN market is expected to grow steadily. At Yole, we announce a GaN market to grow with 55% CAGR between 2017 and 2023”.

However, this analysis is not the only way to see the tomorrow’s industry. Yole’s Power & Wireless team went further in their investigations. Is there any killer application that could cause the GaN power device market to explode? Yes possibly, Yole’s analysts said. As matter of fact, several industrial players confirm that the leading smartphones manufacturer, Apple could consider the GaN technology for its wireless charging solution.

“It goes without saying that the potential adoption of GaN by Apple or another smartphone giant would completely change the market’s dynamics and finally provide a breath of life to the GaN power device industry,” comments Ezgi Dogmus, PhD, Technology & Market Analyst and part of the Yole’s Power & Wireless team. “Indeed we imagine that after a company like Apple adopts GaN, numerous other companies would follow on the commercial electronics market.”

What could be the added-value of GaN technology? Various players, such as EPC and Transphorm, have already obtained automotive qualification in preparation for GaN’s potential ramp-up. In addition BMW i Ventures’s investment in GaN Systems clearly demonstrates the automotive industry’s interest in GaN solutions for EV/HEV technology… Globally, Yole’s second scenario, named Bull Case Scenario is much more aggressive, conditioned by the adoption of GaN wireless charging solution by leading consumer manufacturers.

According to the market research, in this context, the GaN power business could reach around US$423 million by 2023, with 93% CAGR between 2017 and 2023.

By Junko Collins

The SEMI International Standards program is operated in all major electronics manufacturing regions including the Americas, Europe, Japan, Korea, Taiwan and China to increase the manufacturing efficiency and interoperability. More than 5,000 volunteers representing over 2,000 companies work in 20 global technical committees and over 200 task forces to find solutions to common technology challenges.

At SEMICON Japan 2019 – December 12-14 at Tokyo Big Sight, Tokyo – SEMI recognized two industry veterans active in the Japan chapter for their longtime contributions to the SEMI International Standards program. The award ceremony took place on December 13 with 56 Standards committee members and SEMI executives including Ajit Manocha, president and CEO of SEMI, and Jim Hamajima, president of SEMI Japan, in attendance.

Hiromichi Enami of Hitachi High-Technologies Corporation and Isao Suzuki of MKS Japan Receive SEMI Japan Honor Award. Left to right: Jim Hamajima (SEMI), Ajit Manocha (SEMI), Hiromichi Enami (Hitachi High-Technologies), Isao Suzuki, James Amano (SEMI) and Mike Ciesinski (SEMI)

Contributing to SEMI Standards for more than 20 years, Mr. Hiromichi Enami of Hitachi High-Technologies Corporation has been dedicated to committee management by acting as co-chair of the Gases Technical Committee and the Facilities Technical Committee. In addition, as chairman of the division, he has strived for harmonization with other committees and regions. (The current SEMI International Standards program has no division structure).

Mr. Isao Suzuki, formerly of MKS Japan, is also a long-time contributor to the SEMI standards activities, having demonstrated his commitment to the management of the Gases Technical Committee and as a co-chair of the Facilities Technical Committee. He has also made significant efforts towards cooperation with Information & Control Committee activities related to sensor bus activities.

The SEMI Japan Honor Award is given to members who has contributed to the SEMI International Standards program as a member of Japan Regional Standards Committee or as a Global Technical Committee Japan Chapter co-chair for more than four years.

By Junko Collins, director of Standards and EHS, SEMI Japan

North America-based manufacturers of semiconductor equipment posted $1.94 billion in billings worldwide in November 2018 (three-month average basis), according to the November Equipment Market Data Subscription (EMDS) Billings Report published today by SEMI. The billings figure is 4.2 percent lower than the final October 2018 level of $2.03 billion, and is 5.3 percent lower than the November 2017 billings level of $2.05 billion.

“For the first time in over two years, billings of North American equipment manufacturers are down relative to the same month the year before,” said Ajit Manocha, president and CEO of SEMI. “After reaching historical revenues earlier this year, billings activity is decelerating in line with weaker growth expectations for 2019.”

The SEMI Billings report uses three-month moving averages of worldwide billings for North American-based semiconductor equipment manufacturers. Billings figures are in millions of U.S. dollars.

Billings
(3-mo. avg.)
Year-Over-Year
June 2018
$2,484.3
8.0%
July 2018
$2,377.9
4.8%
August 2018
$2,236.8
2.5%
September 2018 (final)
$2,078.6
1.2%
October 2018 (final)
$2,029.2
0.5%
November 2018 (prelim)
$1,943.9
5.3%

Source: SEMI (www.semi.org), December 2018

SEMI publishes a monthly North American Billings report and issues the Worldwide Semiconductor Equipment Market Statistics (WWSEMS) report in collaboration with the Semiconductor Equipment Association of Japan (SEAJ). The WWSEMS report currently reports billings by 24 equipment segments and by seven end market regions. SEMI also has a long history of tracking semiconductor industry fab investments in detail on a company-by-company and fab-by-fab basis in its World Fab Forecast and SEMI FabView databases. These powerful tools provide access to spending forecasts, capacity ramp, technology transitions, and other information for over 1,000 fabs worldwide. For an overview of available SEMI market data, please visit www.semi.org/en/MarketInfo.

IC designers are increasingly seeking ways to keep production costs down while implementing low power, high endurance embedded flash. Microchip Technology Inc. via its subsidiary Silicon Storage Technology (SST) has announced a strategic partnership with SK hynix system ic to expand the availability of SuperFlash® technology. The partnership will introduce SST’s embedded SuperFlash technology to SK hynix system ic’s 110 nanometer (nm) CMOS platform, providing designers a cost-effective and low-power embedded flash memory solution. 

SST’s embedded SuperFlash technology offers low power, high reliability, superior data retention and endurance for a range of applications, such as Internet of Things (IoT) devices, smart cards and microcontroller-based applications. The technology’s power efficiency and fast erase time are ideal for low-power applications such as remote IoT edge nodes and contactless payment devices. 

 “The combination of area-efficient, low-power SuperFlash technology and the highly cost-effective 110 nm process node opens up exciting new product opportunities, especially for IoT and microcontroller-based applications,” said Mark Reiten, vice president of SST, a wholly owned subsidiary of Microchip. “This partnership will enable customers who require low power, high endurance embedded flash to keep their production costs down by using the highly optimised 8-inch CMOS platform.”

SST’s SuperFlash technology complements SK hynix system ic’s embedded flash memory solutions with low power and high reliability IP. SK hynix system ic is a fully owned subsidiary spun off from SK hynix (000660: Korea SE) in July 2017. It is a pure 200 mm foundry specialised in Display Driver IC (DDI), CMOS Image Sensor (CIS), and Power IC with a process range of 500 nm to 57 nm. 

“We believe that the adoption of SST’s embedded SuperFlash will enable SK hynix system ic to expand our technology portfolio, and it will help to respond to customer requests for highly reliable and robust embedded non-volatile memory solutions,” said Dr. SB You, marketing vice president of SK hynix system ic. “Moreover, we will provide customers with a cost-effective embedded flash solution to support their competitiveness in the market. As the demand for embedded flash memory solutions increases, there will be many customers coming to us to use our 110nm CMOS technology-based embedded flash memory solution.” 

The process development commenced earlier this year and is expected to be completed in early 2019. Contact SST for more information on the company’s extensive custom library of off-the-shelf IP blocks optimised for smartcard System-on-Chips (SoCs).