Wafer Level Packaging

WAFER LEVEL PACKAGING ARTICLES



SEMICON West 2018 highlights smart technologies, workforce development, industry growth

07/09/2018  Smart technologies take center stage tomorrow as SEMICON West, the flagship U.S. event for connecting the electronics manufacturing supply chain, opens for three days of insights into leading technologies and applications that will power future industry expansion.

$62.7B semiconductor equipment forecast: Top previous record, Korea at top but China closes the gap

07/09/2018  Releasing its Mid-Year Forecast at the annual SEMICON West exposition, SEMI, the global industry association representing the electronics manufacturing supply chain, today reported that worldwide sales of new semiconductor manufacturing equipment are projected to increase 10.8 percent to $62.7 billion in 2018, exceeding the historic high of $56.6 billion set last year.

EV Group accelerates 3D-IC packaging roadmap with breakthrough wafer bonding technology

07/03/2018  EV Group (EVG), a supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today unveiled the new SmartView NT3 aligner.

SEMI Americas and Solid State Technology announce 2018 "Best of West" award finalists

06/27/2018  Selected from over 600 exhibitors, SEMI announced today that the following Best of West 2018 Finalists will be displaying their products on the show floor at Moscone Center from July 10-12.

FormFactor announces breakthrough improvements in productivity for RF probe systems

06/15/2018  FormFactor, Inc., a electrical test and measurement supplier to the semiconductor industry, has extended its Contact Intelligence technology.

Sales increase 20% year-to-year in April; double-digit annual growth projected for 2018

06/06/2018  Industry forecast projects sales will increase 12.4 percent in 2018 and 4.4 percent in 2019.

IEEE Electronics Packaging Society honors heterogeneous integration pioneer and other innovators

06/05/2018  IEEE, the world's largest technical professional organization dedicated to advancing technology for humanity, and the IEEE Electronics Packaging Society (EPS) today announced Dr. William Chen as the recipient of the 2018 IEEE Electronics Packaging Award.

Automotive IC market on pace for third consecutive record growth year

06/01/2018  18.5% forecast increase in 2018 driven by systems monitoring and control, safety, ADAS, convenience, and growth of autonomous driving. Continued rise of memory ASP adds to growth.

STMicroelectronics announces Executive Committee

05/31/2018  New President & CEO Jean-Marc Chery to lead newly formed Executive Committee.

The advanced packaging industry is on the move

05/30/2018  Without any doubt, the advanced packaging industry is on the move. Emerging applications are bringing many new challenges. Packaging experts from all over the world are deeply involved in the development of innovative solutions to answer to the market demand dominated by megatrends.

SEMICON West adds WT | Wearable Technologies Conference co-location

05/22/2018  U.S. electronics manufacturing event expands with wearables program.

Global, U.S. electronics supply chains see healthy midyear business conditions

05/18/2018  The first quarter of this year was very strong globally, with growth across the entire electronics supply chain.

Silvaco announces relocation of headquarters within Santa Clara

05/09/2018  Silvaco, an EDA and IP provider of software tools, announced today that it has moved into new corporate headquarters at 2811 Mission College Boulevard on the sixth floor of one of the towers of the Mission Corporate Center in the heart of Silicon Valley in Santa Clara.

Global semiconductor packaging materials market tops $16B

05/07/2018  The global semiconductor packaging materials market reached $16.7 billion in 2017. While slower growth of smartphones and personal computers -- the industry’s traditional drivers -- is reducing material consumption, the slowdown was offset by strong unit growth in the cryptocurrency market in 2017 and early 2018.

EV Group begins construction of new Manufacturing III building

05/02/2018  EV Group today announced that it has started construction work for the next expansion phase of its corporate headquarters.

TowerJazz announces release of advanced 300mm 65nm BCD power management platform

05/02/2018  TowerJazz today announced the release of its 300mm 65nm BCD (Bipolar-CMOS-DMOS) process, the most advanced power management platform for up to 16V operation and 24V maximum voltage.

Barbara Humpton named Siemens U.S. CEO

04/26/2018  Siemens Corporation today announced that Barbara Humpton has been appointed CEO for the United States, effective June 1, 2018.

TSMC continues to dominate the worldwide foundry market

04/25/2018  Top eight companies held 88% of global foundry market last year.

Semiconductor assembly and packaging services: Rising number of fabs is driving the market

04/23/2018  Technavio market research analysts forecast the global semiconductor assembly and packaging services market to grow at a CAGR of close to 5% during the period 2018-2022, according to their latest report.

Boston Semi Equipment recognized for excellence by Texas Instruments

04/19/2018  Boston Semi Equipment today announced it is a recipient of the 2017 Texas Instruments Supplier Excellence Award (SEA).




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts