Wafer Processing

WAFER PROCESSING ARTICLES



Process Watch: Automotive defect sensitivity requirements

02/07/2020  Semiconductors continue to grow in importance in the automotive supply chain, requiring IC manufacturers to adapt their processes to produce chips that meet automotive quality standards.

Overlay performance of through silicon via last lithography for 3D packaging

02/05/2020  A lithographic method for TSV alignment to embedded targets was evaluated using in-line stepper self metrology, with TIS correction.

Layout schema generation: Improving yield ramp during technology development

02/05/2020  Layout schema generation generates random, realistic, DRC-clean layout patterns of the new design technology for use in test vehicles.

Zips on the nanoscale

02/28/2019  New method of synthesising nanographene on metal oxide surfaces.

New SEMI Task Force focuses on quality management to meet new, higher reliability standards

02/28/2019  Technologies promising huge growth such as Artificial intelligence (AI), 5G, machine learning, high-performance computing, and telematics are ratcheting up pressure on semiconductor manufacturers in the race among product makers to accelerate time to market and capture share.

97 IC wafer fabs closed or repurposed during past 10 years

02/28/2019  90% of closures were ?200mm wafer fabs; greatest number of closures in Japan.

ON Semiconductor names 2018 Distribution Partner Award winners

02/27/2019  ON Semiconductor today announced its top distribution partners for 2018.

IBM elects Michelle J. Howard to its Board of Directors

02/27/2019  The IBM board of directors today elected Admiral Michelle J. Howard to the board, effective March 1, 2019.

French start-up moves to the edge with battery-operated devices

02/26/2019  The SEMI Europe Industry Strategy Symposium (ISS Europe) returns in Milan, Italy, this year from 31st March to 2nd April, 2019 to explore new opportunities and challenges in the digital economy.

Sigma Labs joins Manufacturing Technology Centre to advance industrialization of additive manufacturing with in-process quality control

02/26/2019  Sigma Labs, Inc. was named a member of the Manufacturing Technology Centre (MTC) located at Ansty Park, Coventry, UK.

North American semiconductor equipment industry posts January 2019 billings

02/22/2019  January billings of North American equipment manufacturers declined 10 percent when compared to the prior month.

Advances in logic IC process technology move forward

02/22/2019  Despite increasing development costs, IC manufacturers continue to make great strides.

Physicists get thousands of semiconductor nuclei to do 'quantum dances' in unison

02/21/2019  A team of Cambridge researchers have found a way to control the sea of nuclei in semiconductor quantum dots so they can operate as a quantum memory device.

POET Technologies establishes photonics design capabilities in Ottawa

02/21/2019  POET Technologies Inc. and Photonic Integrated Circuits (PICs) for the data- and tele-communication markets, today announced that it had entered into an agreement with the highly-respected firm, MillView Photonics, Inc. to establish a collaborative design center in Ottawa, Ontario, Canada.

pSemi announces Sumit Tomar will be transitioning to CEO

02/20/2019  pSemi Corporation today announced that its parent company and executive leadership has approved the recommendation of Chairman and Chief Executive Officer Jim Cable for an evolution of the company's senior leadership structure.

GLOBALFOUNDRIES crosses billion-dollar design win threshold with 8SW RF SOI technology

02/20/2019  Mobile market continues to favor RF SOI, with 8SW proving to be the industry's leading platform for power-optimized chips.

A ride on the business cycle

02/19/2019  World electronic industry growth moderated (or contracted) in many sectors in late 2018.

Samsung SDS and IBM collaborate to strengthen open source hyperledger fabric and blockchain ecosystems

02/15/2019  During IBM THINK 2019, IBM’s annual conference focused on technology and business, Samsung SDS announced it is continuing its collaboration with IBM in support of advancing Hyperledger Fabric, an open source cross-industry blockchain technology, with recent code contributions, research and a new white paper.

Taiwan maintains largest share of global IC wafer fab capacity

02/14/2019  China shows biggest increase, nearly matching North America with 12.5% share in 2018.

SEMICON Southeast Asia 2019 to showcase smart manufacturing, IoT, and workforce development

02/13/2019  Registration opens for Southeast Asia's premier electronics manufacturing supply chain event.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts