Impacts Contamination Control

Impacts Contamination Control

By Sheila Galatowitsch

Increasing reliance on cluster tools and minienvironments is significantly influencing current contamination control research. More effort is being funneled into making tools function as cleanly as possible, understanding the root causes of contamination, and preventing contamination from occurring. There is also a parallel effort to make contamination control as environmentally friendly as possible, by developing wet cleaning processes that use less water and solvents, or substituting them altogether with dry cleaning.

Responsibility for controlling contamination within the tool is quickly falling to the equipment vendors. The impetus behind contamination control R&D originally came from semiconductor manufacturers, who funded in-house projects and research at leading universities, says Dr. Ahmed Busnaina, director of the Microcontamination Research Laboratory at Clarkson University`s Center for Advanced Material Processing (Potsdam, NY). But in the past few years, equipment vendors have begun to assume a greater share of the burden for both the process and equipment, leading them to fund more of the research.

“There is an increasing acceptance by vendors of the responsibility to design and market equipment that operates in a clean fashion,” says Robert Blewer, director of the Research Center for Contamination Free Manufacturing at Sandia National Laboratories (Albuquerque, NM). Market forces are also compelling equipment vendors to pursue the highest levels of contamination-free operation. Other factors being equal, the vendor with the cleanest equipment makes the sale.

Mini- and microenvironment suppliers have also worked hard to provide improved isolation of wafers from contamination. Materials of construction have been carefully selected to avoid detrimental outgassing effects, Blewer says. Researchers at Sandia have developed a sensor (see Fig. 1) to measure condensation of organics inside minienvironments, as well as in-situ sensors for cluster tools.

Contamination control research is carried out by the national laboratories, universities and industry. In addition to research at its own facility, Sandia supports projects at the Universities of Minnesota, Illinois, Arizona, New Mexico, Texas, California at Berkeley and Stanford. Following is a synopsis of the major trends in contamination control R&D and a look at some specific projects.

Fundamental research

Discovering the root cause of contamination is the goal of an industry consortium that has been funding research at the University of Minnesota for the past 12 years. Current consortium members are Applied Materials Inc., Particle Measuring Systems, Samsung and the National Institute of Standards and Technology.

“We do not have a fundamental understanding of the underlying mechanisms leading to contamination,” says Dr. Benjamin Liu, director of the University of Minnesota`s Particle Research Laboratory (Minneapolis, MN). “Without finding a root cause, it will be difficult to make general progress.” The university`s research focuses on five projects:

1. An investigation into particle generation processes inside a plasma-enhanced chemical vapor deposition (PECVD) system, the basic tool used to lay thin films. Researchers want to understand particle generation, transport and deposition in these tools and develop methods to collect particles for identification. Initial results show that particles are originating from four sources, according to Liu. One source is the gas phase nucleation process, which is producing particles inside the plasma that can grow large enough to deposit. Other sources are process material accumulation in the shower head; material collecting on the vacuum chamber walls, which can become re-entrained and deposited on the wafer; and unreacted chemical species that are produced outside the plasma region. The chemicals then nucleate and grow large enough to form particles.

2. A general study into the behavior of particles in a vacuum system; and a specific look at the relationship of contamination levels inside tools vs. the output from in-situ particle monitors. In-situ particle monitors (ISPMs) are beginning to be used to monitor particle contamination inside tools, but currently, it is not understood how the output compares to true levels of contamination inside the tool. Because of low gas density, researchers are finding that the flow of the gas in a vacuum system is always laminar, according to Liu. Therefore, particulates follow precise trajectories, and a particle beam produced in one part of the system does not mean it will be automatically detected in another part of the system.

3. A study of the flow rates of ISPMs, and how particle sizes affect their response characteristics. Researchers installed an exhaust line in a vacuum processing chamber to detect particulates in gas. They found that the ISPM`s detection effectiveness is a function of the gas flow rate. “It cannot be too high or too low or you will not detect the particle,” Liu says. The level of a system`s vacuum also affects the detection efficiency of an ISPM. “In order to get the most data out of the ISPM, you have to make sure the units are operated within the appropriate operating envelopes,” says Liu.

4. A basic study of the thermophoretic effect on particle deposition. Particle motion can be affected by the temperature gradient that may exist over the surface of a wafer inside a pipe or a tool component. Researchers are developing equations to calculate the thermophoretic speed. Experiments have shown that forces in the proper operating temperature and proper direction can help to prevent particles from depositing on the surface. Users should pay attention to the surface temperature to minimize particle deposition, Liu says. The wrong temperature may cause contamination.

5. An investigation into the nature of electrical charges on particles produced in semiconductor processing tools. In this project, researchers have developed a method to measure the charge on particles suspended in gas aerosol. By measuring the charge and the particle size simultaneously, they hope to determine if the particles originated from the plasma. This method could ultimately be used to detect where the particles originate and where they are charged.

Modeling and in-situ sensing

Modeling and in-situ sensors are the focus of study at Sandia`s Research Center for Contamination Free Manufacturing (CFM). Sandia integrates its research program with the industry consortium Sematech (Austin, TX) and works with suppliers to design next-generation equipment.

Modeling will be essential to improving contamination levels in future equipment and processes, says Blewer. “We are often asked to model particle trajectories in an existing tool and suggest improvements, but what is much more beneficial is to work with the suppliers while they are designing new equipment, so they can build-in inherently clean features,” Blewer says. “After using numerical modeling, more companies comprehend its value, and they are now hiring people who are modelers.”

Sandia researchers are currently conducting modeling studies to understand particle trajectories within various tools; how to optimize sensor placement in tools; and how efficiently water flows through rinsers and overflow tanks, and over wafers.

A second focus is the development of ultra-sensitive sensors to detect particles, residue build up and removal, and other phenomena within the chambers of cluster tools. The CFM research center`s current projects include developing sensors that would survive corrosive gases while measuring the trace moisture in the gases; use of in-situ sensors that unobtrusively measure build-up and removal rate of residue on the walls of reactors; and using sensors to measure the stop-etch phenomena in etch reactors.

In addition to these two main areas, researchers are looking at the reduction of ultrapure water requirements through increased efficiency and more dilute solution cleaning. Sandia is using its Class 1 fab as a test bed for experimenting with water use reduction through recycling concepts in partnership with the EPA and Sematech. Modeling has shown that as much as 80 percent of the ultrapure water that comes into the base of some tank designs is not flowing between wafers, but is wasted as it flows through the ends of the tank (see Fig. 2). Sandia researchers have developed methods to more efficiently inject water between wafers in tanks, thereby increasing cleaning efficiency as well as reducing water usage.

The researchers have also discovered that SC-1 formulas can be diluted more than 100 to 1 the original proportions, while maintaining 95 percent cleaning efficiencies, Blewer says. “By diluting, you reduce chemical usage and waste disposal costs, and since you have to remove only a dilute solution residue, less water is required,” he says.

Megasonic cleaning research

Improving wet cleaning processes (i.e., megasonics and ultrasonics) is the primary research focus at Clarkson University`s Microcontamination Research Lab. Sonic cleaning at high frequencies near 1 MHz, termed megasonic cleaning, is an effective technique for removing submicron particles. And it will likely remain the most common technique even as the semiconductor industry strives for unprecedented control of particulate and metallic contaminants, and of silicon surface roughness, says Lab Director Busnaina.

Despite the efficiency of megasonics, and the industry`s reliance on it, the process is poorly understood. Clarkson`s current research projects attempt to understand the technique`s effects on oxide and metal surfaces and improve its particle removal capabilities, especially in post-CMP cleaning applications. The university is now focused on two key projects:

A fundamental investigation into megasonic cleaning of silicon wafers. Researchers determined submicron particle removal efficiency for various particle sizes and compositions in both DI water and SC-1 chemistry using different frequencies. They also determined the physical and chemical effects of high frequency ultrasound on liquid-based cleaning of silicon wafers; the effect of power and time of sonication; particle removal for different concentrations of ammonium hydroxide (in SC-1); and the effect of the concentration on surface roughness and metal deposition. The study showed experimentally that the type of cavitation that occurs in megasonic cleaning is quite different from cavitations in ultrasonic cleaning. The results demonstrate that stable cavitation (which does not cause any surface damage) exists as a particle removal mechanism in megasonic cleaning, in addition to acoustic streaming.

Researchers concluded that well-controlled megasonic energy facilitates the use of chemistries that are much more dilute than those traditionally used, leading to cost savings and environmental benefits. Complete removal was established even at very dilute chemistries (1/100 of the original ammonium hydroxide concentration in SC-1).

The results show that power, temperature and time have increasing effects on removal efficiency until they reach a certain value, then the removal efficiency goes down. The optimal condition of power, temperature and time was found for silicon nitrite and alumina removal. The researchers also accomplished complete removal of alumina slurry in post-CMP cleaning process using megasonic cleaning with DI water only.

Modeling of megasonic cleaning of silicon wafers. Researchers developed a numerical model to predict contaminant transport and removal in wafer cleaning. The model predicts the contaminant concentration on the wafer surface as a function of time, temperature, velocity, concentration and geometry. The model also predicts the effect of ultrasound energy (megasonic) on cleaning of chemical contaminants or particles.

In addition, researchers studied contaminant removal and transport in a wet cleaning process. They developed an overflow DI water rinse, centrifugal rinse and dump rinse computer model to study the rinsing efficiency. The model determines the metal or chemical contaminant concentration as a function of position in the flow and on the wafer, with and without megasonic cleaning effects.

These numerical studies of megasonic cleaning were designed to link the fundamentals of ultrasound theory with the megasonic cleaning process. They showed that cleaning is significantly enhanced — more than doubled — with megasonics.

Clarkson researchers have also investigated contamination deposition in several other projects, including modeling the contamination in a low pressure chemical vapor deposition process; modeling and measuring gas-phase nucleation during tungsten deposition; and modeling of particulate contamination in titanium nitride sputtering processes. Results of these projects are available on the Clarkson Web site at www.clarkson.edu/~microlab. They have also developed a technique for measuring the removal and adhesion forces of sub micron particles.

New dry cleaning processes

Meanwhile, dry cleaning processes that provide alternatives to wet chemistries are under development. Several new processes that originated in industry have just recently been commercialized. Based on technology developed at IBM, FSI International Inc. (Minneapolis, MN) this year introduced a new cleaning tool that uses frozen argon/nitrogen gas crystals. Radiance Services Company (Bethesda, MD) has licensed its new laser cleaning process to two equipment integrators. Both processes use inert gases, and eliminate the need for water, chemicals and solvents, as well as the need for chemical disposal.

Still, researchers have yet to demonstrate that new dry cleaning processes can clean at every stage of chip manufacturing. In the near-term, both methods will likely be used. “We want to replace wet chemical cleaning at every stage of chip manufacturing,” says Donna Fitzpatrick, President of Radiance. “We are working now to test where we can clean effectively at various stages. Ultimately, our goal would be to virtually replace wet chemistry cleaning.

Radiance has licensed its technology to two cleaning systems manufacturers: Exitech Ltd. (Oxford, UK) and Neuman MicroTechnologies Inc. (Concord, NH). In December, Neuman launched the first production tool based on the Radiance process and shipped its first unit to the U.S. Department of Defense in January. The Interuniversity Microelectronics Center in Belgium is also evaluating the tool at its test site.

The technology uses a pulsed laser and flowing gas to dry clean semiconductor wafers, photomasks and flat panel displays, industrial metals, medical devices and packaging, as well as other industrial applications. It removes different types of contaminants measuring from 0.1 to 80 microns, including particles, organics and CMP slurry residue, mold lubricants, mold residues, environmental debris, oxide layers, paints and curring burrs. The contaminates are removed in a single pass without water or chemicals.

It works by using the principles of quantum physics and two working components: a light source and a flowing inert gas. The light, a photon flux from a deep ultraviolet source, is applied to the surface to be cleaned, lifting the contaminant from the surface. A high density inert gas, such as nitrogen or argon, flows in a laminar regime across the surface, providing a neutral medium that surrounds the ejected contaminant and sweeps it away from the surface. The laminar flow produces a stable boundary layer that prevents contaminant reattachment.

FSI`s tool, called the Aries Cryogenic Aerosol Cleaning System, uses inert argon-nitrogen gas and a combination of cryogenic and kinetic forces to remove contaminants from a wafer. The gas is cooled to form crystals that are sprayed at high velocity toward the wafer surface. The frozen gas dislodges particulate and etch-residue contamination and the gas flow carries it away.

The tool removes multiple contamination types, including particles from deposition and residues from plasma etching of metal, dielectric and polysilicon films. The company says test results show that 100 percent of etch residue and 98 percent of surface particles are removed. FSI has sold several units to IBM and installed a system at Sematech for evaluation.

The system is targeted for solvent-free, back-end-of-line silicon wafer cleaning. Currently, the industry is using a scrubber with water or mild solutions, but the effectiveness of this approach is limited. “This technology lends itself well to the back-end of wafer processing, where metal interconnections prohibit the use of acid cleaning chemistries. It is benign and totally inert, and doesn`t react,” says Dan Syverson, product marketing technologist for surface conditioning products at FSI. “We see it evolving to replace single wafer wet scrubbers or megasonics.”

The company is also investigating other applications, such as yield enhancement through particle removal. Syverson says IBM has reported substantial improvements in device yield with the system. “You can apply the technology anywhere in the process flow,” he said.

Researching environmental impact

There is so much interest in the environmental impact of contamination control that the research area now has a home of its own. Opened last year, the Center for Environmentally Benign Semiconductor Manufacturing is headquartered at the University of Arizona (UA; Tucson). It is supported by UA, Stanford, MIT and the University of California at Berkeley, and funded by the National Science Foundation and Semiconductor Research Corp. The center will explore reducing the amount of chemicals used, more environmentally friendly chemicals and recycling water, to name a few projects.

At UA`s Center for Microcontamination Control (CMC), researchers are pursuing ways to reduce the amount of water used in a wafer cleaner during idle time. Semiconductor manufacturers found that when they shut down a plant, water use does not drop to zero; rather, it remains substantial, while idle flow in wafer cleaners goes down the drain.

“That`s a large quantity of water in proportion to the total amount used — about half of the total flow,” says Dr. John O`Hanlon, CMC director. “If you turn the water off, you risk the chance of growing bacteria in the tank.” Researchers are trying to determine the minimum amount of water necessary to minimize the formation of biofilms on the walls and keep the rinse tanks clean. To that end, the university is constructing a state-of-the-art ultrapure water system to pursue research in this area.n

Click here to enlarge image

s FSI International`s Aries Cryogenic Aerosol Cleaning System uses inert argon- nitrogen gas and a combin ation of cryogenic and kinetic forces to remove contaminants.

Click here to enlarge image

Figure 1. A schematic representation of the SAW chemical sensor absorbing chemicals from the ambient.

Click here to enlarge image

Figure 2. Streamlines calculated for flow around 50 wafer load in generic rinse tank geometry.

Filter shedding impacts room cleanliness

In a recently completed project funded by IBM, Clarkson University researchers discovered that the shedding of HEPA and ULPA filters presents a major source of contamination in clean environments. Researchers studied the shedding characteristics of three different filters and concluded that filter shedding occurs under certain conditions that depend on the filter`s manufacturing method and materials.

The filters were tested in an electroplated stainless steel tunnel that had been specially designed for the study. The testing filter was installed downstream of several HEPA pre-filters, which were used to ensure a particulate-free environment. Microanalysis particle samplers and condensation particle counters were used to collect particles and determine the number of particles shed from the testing filter. Both instruments were installed at the upstream and downstream of the testing filter. The experiment measured shedding as a function of time, air speed and vibration, says Clarkson`s Dr. Ahmed Busnaina.

The condensation particle counters detected particles ranging from 1 to 0.1 microns, and a chemical analysis of the particles confirmed that the source was the testing filter. The results showed that filter brand and vibrations have the largest effect on the shedding rates, and the shedding rate increased as a function of time.

Filters are typically constructed from paper and glass fibers. The study found that under certain conditions, the glass fibers could shake loose.

Possible solutions to the problem, according to Busnaina, include the use of membrane filters that would capture shedded particles.–SG

NASA R&D projects ready for commercialization

NASA is seeking commercial partners to manufacture several new systems resulting from R&D projects at NASA`s John F. Kennedy Space Center (KSC) in Florida. The new systems include two particle fallout monitoring systems and a supersonic gas-liquid cleaning system.

Particle fallout denotes airborne particles that settle out of the air onto objects in a cleanroom. “The classic methods of monitoring contamination control (except for aerosol) are not real-time,” says Paul Mogan, an electronics engineer who runs the NASA Contamination Monitoring Laboratory (CML) at KSC, where the systems were developed. “The current trend in aerospace is toward getting all this information in real-time to prevent damage to your payloads,” he says.

Measurement of contamination deposition levels on payload optic surfaces had been performed by placing a 37-millimeter diameter gridded filter near a payload for two weeks, and then manually counting and sizing the contamination using a microscope in a laboratory.

As an alternative to this manpower-intensive and error-prone method, CML engineers developed two new systems using commercially available components. One, called the Optical Fallout Monitor (OFM) or Qualitative OFM, is a real-time particle fallout monitor. The device qualitatively measures the total amount of contamination by monitoring the amount of light scattered by particles that deposit on a mirror surface in the instrument.

It is small, portable, battery-operated and programmable, storing up to 6,000 measurements — data that can be downloaded in place via a portable computer. Samples can be taken from once per minute up to one per day.

The second real-time monitor, the Quantitative Fallout Monitor, quantitatively measures particulate fallout contamination. It uses image processing algorithms to locate and size particles. It distinguishes between particles and fibers, counts and measures them, and reports their dimensions. Both systems are being used at KSC to detect the accumulation of potentially damaging dust and fibers on sensitive payload components.

According to Mogan, the potential applications for the systems vary from film manufacturing to the pharmaceutical industry. However, because the systems were designed for the aerospace industry, where contamination is not a problem unless it is a few microns in size and larger, the systems are not applicable to the semiconductor industry, where extremely small particles are the concern.

The NASA-developed supersonic gas-liquid cleaning system is currently being used as a cleanliness verification tool in replacement CPC-113 rinsing. The system uses the velocity of a supersonic air-liquid jet to remove contaminants from components while simultaneously emulsifying the contaminants into a liquid (typically water). The liquid can be collected and sampled for contaminants to verify cleanliness.

Eric Thaxton, a mechanical engineer who helped design the unit, says the impingement cleaning method uses only a small amount of water to create a mist. The supersonic nozzle accelerates the water droplets to 3,000 feet-per-second velocity. The combination of water and air velocity removes contaminants.

The new method can replace solvent rinse methods, and potential applications include cleaning and cleanliness verification of complex mechanical and electronic parts.

NASA has several patents pending on the system and two companies have licensed it — Va-tran Systems Inc. (Chula Vista, CA) and Precision Fabrication and Cleaning Company Inc. (Cocoa, FL). NASA transfers new processes either by licensing a fully developed technology to users and manufacturers, or participating in a dual-use development and manufacturing partnership with industry. –SG

Click here to enlarge image

The Optical Fallout Monitor (OFM) from NASA is a real-time particle fallout monitor that qualitatively measures the total amount of contamination by monitoring the amount of light scattered by particles that deposit on a mirror surface in the instrument.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.