Lithography

LITHOGRAPHY ARTICLES



Overlay performance of through silicon via last lithography for 3D packaging

02/05/2020  A lithographic method for TSV alignment to embedded targets was evaluated using in-line stepper self metrology, with TIS correction.

97 IC wafer fabs closed or repurposed during past 10 years

02/28/2019  90% of closures were ?200mm wafer fabs; greatest number of closures in Japan.

ON Semiconductor names 2018 Distribution Partner Award winners

02/27/2019  ON Semiconductor today announced its top distribution partners for 2018.

IBM elects Michelle J. Howard to its Board of Directors

02/27/2019  The IBM board of directors today elected Admiral Michelle J. Howard to the board, effective March 1, 2019.

French start-up moves to the edge with battery-operated devices

02/26/2019  The SEMI Europe Industry Strategy Symposium (ISS Europe) returns in Milan, Italy, this year from 31st March to 2nd April, 2019 to explore new opportunities and challenges in the digital economy.

ASML joins the eBeam Initiative

02/26/2019  eBeam Initiative achieves new milestone with 50 member companies from the semiconductor photomask and lithography supply chain.

North American semiconductor equipment industry posts January 2019 billings

02/22/2019  January billings of North American equipment manufacturers declined 10 percent when compared to the prior month.

Advances in logic IC process technology move forward

02/22/2019  Despite increasing development costs, IC manufacturers continue to make great strides.

pSemi announces Sumit Tomar will be transitioning to CEO

02/20/2019  pSemi Corporation today announced that its parent company and executive leadership has approved the recommendation of Chairman and Chief Executive Officer Jim Cable for an evolution of the company's senior leadership structure.

GLOBALFOUNDRIES crosses billion-dollar design win threshold with 8SW RF SOI technology

02/20/2019  Mobile market continues to favor RF SOI, with 8SW proving to be the industry's leading platform for power-optimized chips.

A ride on the business cycle

02/19/2019  World electronic industry growth moderated (or contracted) in many sectors in late 2018.

Taiwan maintains largest share of global IC wafer fab capacity

02/14/2019  China shows biggest increase, nearly matching North America with 12.5% share in 2018.

Soitec becomes strategic partner of Silicon Catalyst start-up incubator

02/14/2019  Silicon Catalyst, the world's only incubator focused exclusively on accelerating solutions in silicon, today announced Soitec, a designer and manufacturer of semiconductor materials, as its first European Strategic Partner.

MORE LITHOGRAPHY ARTICLES

WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts