MEMS

MEMS ARTICLES



Rice U. researchers unveil Internet of Things security feature

02/20/2019  'Physically unclonable function' is 10 times more reliable than previous methods.

ESI receives significant Asia order for flex PCB laser via drilling solution

02/19/2019  Electro Scientific Industries (ESI), a division of MKS Instruments, Inc. and an innovator in laser-based manufacturing solutions for the micro-machining industry, today announced an order for its recently-released CapStone laser drilling solution for processing flexible printed circuits (FPC).

UltraSoC extends on-chip analytics architecture for the age of machine learning, artificial intelligence and parallel computing

02/15/2019  Addresses complex multicore systems for automotive, storage, at-scale computing.

Sensor accuracy: Critical metric in automotive, industrial, consumer markets

02/13/2019  As group vice president of the Analog & MEMS Group and general manager of the MEMS Sensor division at STMicroelectronics, Andrea Onetti brings nearly three decades of experience in MEMS, sensors and audio systems to his leadership role at one of the world’s most successful electronics and semiconductor manufacturers.

The "Wall," political gridlock and China: SEMI's take on SOTU address

02/12/2019  For public policy lovers, civic-minded, engaged U.S. citizens, and people around the world interested in the U.S. President’s positions and priorities, the annual State of the Union address (SOTU) is "must-see TV."

STMicroelectronics reveals motion sensor with machine learning for high-accuracy, battery-friendly activity tracking

02/11/2019  STMicroelectronics has integrated machine-learning technology into its advanced inertial sensors to improve activity-tracking performance and battery life in mobiles and wearables.

IDT and Telink Semiconductor announce partnership on integrated sensor platforms

02/08/2019  Leveraging respective leadership technologies in sensors and IoT connectivity, Integrated Device Technology, Inc. (IDT) and Telink Semiconductor are announcing a partnership to create connected and integrated sensor platforms for IoT applications.

ePaper 2.0: Color and video come to electronic paper

02/08/2019  With over 25 years of experience in the technology industry, Sri Peruvemba, CMO of CLEARink Displays, is a longtime advocate of electronic display technology.

STMicroelectronics to acquire majority stake in silicon carbide wafer manufacturer Norstel AB

02/07/2019  STMicroelectronics today announced it has signed an agreement to acquire a majority stake in Swedish silicon carbide (SiC) wafer manufacturer Norstel AB.

CEA-Leti combines integrated optics and holography in novel, lens-free augmented reality technology

02/06/2019  Leti, an institute of CEA-Tech, has developed a novel retinal-projection concept for augmented reality (AR) uses based on a combination of integrated optics and holography.

John Chong of Kionix named Chair of MEMS & Sensors Industry Group governing council

02/06/2019  SEMI today announced the appointment of John Chong, vice president of product and business development at MEMS manufacturer Kionix, as Governing Council chair of the SEMI-MEMS & Sensors Industry Group.

MEMS & Sensors Technical Congress highlights automotive market, emerging MEMS technologies

02/06/2019  This year’s MEMS & Sensors Technical Congress(MSTC), February 19-20, 2019, features a deep dive into the changing automotive sensor landscape, a look at emerging MEMS technologies, and an exploration of integration standards.

Researchers report advances in stretchable semiconductors, integrated electronics

02/05/2019  Researchers from the University of Houston have reported significant advances in stretchable electronics, moving the field closer to commercialization.

MORE MEMS ARTICLES

WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts