Materials and Equipment

MATERIALS AND EQUIPMENT ARTICLES



GOWIN Semiconductor announces release of the new GOWIN EDA tools for improved performance on new FPGA product families

02/22/2019  GOWIN Semiconductor Corp. announces the release of GOWIN's new EDA tool, YunYuan 1.9.

LPKF offers glass foundry service for advanced IC and MEMS packaging solutions

01/25/2019  Laser systems specialist LPKF Laser & Electronics, based in Hannover, Germany has added a foundry service for thin glass substrates to its product portfolio.

Toshiba Machine launches new die casting machines for southeast Asian market

11/19/2018  Toshiba Machine Co., Ltd. has developed the new DC-KT Series Die Casting Machines to meet the needs of the Southeast Asian market and has started sales and production at its plant in Thailand.

MIRPHAB offering design, production and business planning for companies developing mid-infrared devices for chemical sensing and spectroscopic applications

11/14/2018  MIRPHAB, a European Commission project to create a pilot line to fabricate mid-infrared (MIR) sensors by 2020, is accepting proposals from companies that want to develop and prototype new MIR devices that operate in gas-and-liquid media.

Renesas Electronics announces absorption-type merger with consolidated subsidiary

10/31/2018  Renesas Electronics Corporation today announced that it has resolved at the Meeting of Board of Directors held on October 31, 2018 to consolidate its wholly-owned subsidiary Renesas Semiconductor Package & Test Solutions Co, Ltd.

Global semiconductor sales in September up 13.8% year-to-year

10/29/2018  Q3 sales are highest on record, 4.1 percent more than previous quarter, 13.8 percent higher than Q3 of last year.

Advanced packaging technologies are key for semiconductor innovation

10/24/2018  In the era of a slowing Moore's Law, advanced packaging has emerged as the savior of future semiconductor development.

Samsung debuts semiconductor innovations at Samsung Tech Day

10/19/2018  Technologies introduced at the event include 7nm LPP EUV, SmartSSD and 256GB 3DS RDIMM.

Perovskites: Materials of the future in optical communication

10/16/2018  High performance and stable all? Inorganic metal halide perovskite-based photodetectors for optical communication applications.

Applied Energy Systems announces acquisition of Advanced Research Manufacturing (ARM)

10/01/2018  AES will add ARM's gas purification technology to supplement and further expand its gas delivery equipment offerings.

Alpha and Omega Semiconductor announces new TO-leadless packaging technology for high current 400A applications

09/27/2018  Alpha and Omega Semiconductor Limited today introduced the TO-Leadless (TOLL) package in combination with 40V Shield-Gate Technology (SGT) to provide the highest current capability in its voltage class.

China forecast to account for 90% of pure-play foundry market growth in 2018

09/26/2018  Driven by cryptocurrency device demand, TSMC's China sales are expected to surge by 79% this year.

KLA-Tencor expands IC packaging portfolio

08/31/2018  KLA-Tencor Corporation announced two new defect inspection products designed to address a wide variety of integrated circuit (IC) packaging challenges.

MORE MATERIALS-AND-EQUIPMENT ARTICLES

WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts