Airborne molecular contamination in cleanrooms

Airborne molecular contamination in cleanrooms

As the critical Othird dimensionO ? line width ? shrinks, the inroads of airborne molecular contamination are becoming more and more apparent in 0.25-micron and next-generation devices, adding yet another layer of contamination control to the cleanrooms of the future.

By Susan English-Seaton

Airborne molecular contamination (AMC) has recently gained notoriety as an important contamination concern, pushing the frontiers of investigation into methods for measuring and controlling its effects in the fab and in the cleanroom. In the absence of any comprehensive real-time method of measuring it or its effects in critical environments, the National Technology Roadmap for Semiconductors has proposed airborne molecular contamination as the next technical challenge in achieving and maintaining low defect rates on microelectronic devices. Just barely past the defining stage, AMC is only beginning to be understood and attempts to measure its effects occur mostly after the fact — after a major excursion or “hit” has caused integrated circuit production yield to drop drastically.

Since all materials and most fab activities emanate gases or small aerosols by diffusion and desorption, AMC is made up of acids, bases, condensables and dopants. It may also include oxygen, moisture, and metallics. Generally, contamination of a clean surface originates from three main sources: fab processes, materials of construction, and outgassing from the environment. With respect to wafer and device production, it is basically a type of chemical contamination that affects wafers and stepper optics and may result from exposure to airborne gases and vapors during wafer handling, storage and transport.

AMC can cause changes in the wafer`s electrical properties at the parts-per-trillion level in air and is not easily controlled by source reduction and remediation. There have been a number of documented cases of AMC-related process effects, such as deep ultraviolet (DUV) photoresists, T-topping, uncontrolled boron or phosphorus doping, etch rate shifts, and fab corrosion, silicon carbide formation following pre-oxidation clean, threshold voltage shifts, nucleation irregularities, HEPA filter degradation, wafer and stepper optics hazing, and high contact resistance.

Lack of cleanroom protocols

In their paper “Measurement and identification of airborne non-volatile molecular contamination in a semiconductor fab,” W.D. Bowers, David A. Hope, G.S. Strossman and P.M. Lindley state unequivocally that cleanroom protocols for monitoring molecular contamination are unavailable due to the lack of published manufacturing data correlating critical IC process with yield defects. Indeed, current solutions and parameters are mostly the results of private manufacturers` R&D efforts arrived at independently and are largely proprietary. However, strategic investigations are being undertaken to review available technologies and develop new ones and to share them on a more industry-wide basis. SEMI, Sematech, I300I and the Institute of Environmental Sciences and Technology (IEST) are all currently evaluating or gathering field data to begin to establish both solutions and standards.

Some of the questions being addressed by studies are:

(1) What are acceptable limits for the various contaminants?

(2) What control technology will provide an environment in which those limits can be maintained?

(3) How do we measure the level of the contaminant in order to know whether that limit is being reached or there is actually a problem?

Measuring and controlling AMC

The logical reaction has been to approach AMC as one would control for particles or any other environmental parameter in the fab — with some important differences: Outside pollutants are quite different from those generated inside the fab through processes and offgassing of materials. AMC itself is not “visual;” only its effects are, such as optics hazing. Also, with the lack of measurable parameters, solutions tend to be in the nature of quick fixes — hit or miss, in many cases — or applied after a “hit” has occurred. If chemical filters are used, it can typically take months for a problem to become apparent because of the inability to measure damage at the filter — there are no existing chemical air filter testing standards. Monitors that measure contaminants such as ammonia, NMP and bases in the 1,000 ppt range are currently available. But no real-time AMC measurements have yet been reported in an operating production fab to date, according to the previously referenced paper.

Proposed methods for controlling AMC range from the macro to the micro level, including nitrogen purging from FOUP (Front-Opening Unified Pod) to fab, and the use of specially treated chemical filters in the air system, in minienvironments, and at the tool. Although AMCs can be measured and filtered using existing technology, cleanrooms of the future may need to be specifically designed to control AMC, says Devon Kinkead, president of Extraction Systems Inc. (Franklin, MA), in a paper entitled “Airborne molecular contamination: a roadmap for the 0.25 &#181m generation.” Kinkead adds that container technology may help control AMC, but that volatile container components must be controlled as well.

HEPA and ULPA filters are already undergoing a transition from electrostatic filter media to low-boron and expanded polytetrafluoroethylene (PTFE). Ray Martin, director of advanced technology development at Asyst Technologies (Fremont, CA), predicts that air filters will have higher standards, and that there will be a wider application of chemical filtration systems across the fab. In Japan, where bare cassettes are still in use, air is being chemically treated before it goes through distribution and filtration at the macro level. At the deep UV process, control systems for AMC are being installed at the tool level. Martin sees wafers being either purged with nitrogen or chemically filtered air during transportation and storage. “Inside the tool, nitrogen purging inside an entire tool can be expensive, so if the process can get away with it, they`ll use just chemically filtered air.”

Significant efforts are being invested in monitoring surface contamination on the wafer itself. Efforts are already underway to establish a standard of real-time monitoring using surface acoustic wafer (SAW) sensors as “electronic witness wafers,” in combination with TOF-SIMS (time-of-flight secondary ion mass spectrometry) and GC/MS (Gas Chromatography/Mass Spectrometry) identification.

AMC roadmaps

The 1997 National Technology Roadmap for Semiconductors, recently published by the Semiconductor Industry Association, will include updated figures on appropriate levels of AMC. The lithography section of the document, “Product critical level resist requirements,” pegs airborne amine contamination levels for the next 15 years at about one part per billion. Sematech has published estimates of the effects of specific molecular contaminants in air on specific wafer processes. Its AMC Roadmap entitled “Forecast of airborne molecular contamination limits for the 0.25 micron high performance logic process” provides the factory planning community with process-specific wafer environmental control specifications for AMC to support high performance logic process in a 0.25 micron factory. It projects requirements for the critical process steps of pre-gate oxidation, salicidation, contact formation, and DUV lithography. Limits are separated into acids, bases, condensables, and dopants, correlating with those published in SEMI Standard F21-95.

IEST Working Group 31

The IEST`s Working Group 31 (WG 31), founded in May 1996, is attempting to standardize outgassing methods for cleanroom materials. According to member Kathryn Gibb, a material and process engineer specialist with Lockheed Martin, the first portion of the Recommended Practice will provide an analysis of materials to be used in cleanrooms. It will evaluate outgassing properties and their effects without actually specifying limits for specific applications, which vary widely.

This first level uses a relatively new analytical technique called “Dynamic Headspace GC/MS” or thermal extraction GC/MS. The technique is to accelerate outgassing of the volatile organics (AMC) by heating the material to a high temperature, which will drive off the organics in a short enough time to be detected by instrumentation. Current systems integrate the heating, trapping and analysis all in one system, and WG 31 is trying to develop a standardized method that can be used with any system.

“Obviously, there are certain organic classifications you`re not going to detect,” says Gibb. “But as far as a general screening technique, you`ll probably see 70 percent to 80 percent of most organics.” The analysis can be tailored to hunt for specifics. “If you`re considering two different materials to put in a cleanroom, you can run them both, look at the results, and then choose between them.” The RP will include a “Relative Quantitation.” Instead of exact amounts, either an internal or external standard will be used to quantitate the amount of offgassing material. Because it affords only a rough idea, the technique does not take into account response factor differences, warns Gibb, which she says can be very dramatic.

Currently, WG 31 is developing a “chemical cocktail” — a screening technique specific to GCm/MS, which can be used by any laboratory to test a system. The chemical makeup of the cocktail will be composed of several compounds representative of a number of different chemical classes, as well as those of specific concern, to reflect the spectrum of users comprising the working group itself. One of the chemicals picked was diethylhexylphthalate, or DOP, a very common plasticizer, which Gibb says, is a big concern in a lot of cleanrooms, as are phosphorous-containing compounds.

The next step will probably be to form a Working Group 31B, whose task it will be to come up with an engineering test that can be administered at room temperature conditions (40 percent RH) over longer periods of time. The technique has solved a number of problems recently for a number of companies with low levels of specific compounds, Gibb claims. “What`s going to be nice is when some of the engineering tests being developed are standardized and come into play and we can get ideas of actual problem levels. Because with the screening technique right now, we`re basically saying, `It`s here and it`s either a big player or a small player.` And that doesn`t tell you if it`s a problem. There`s a whole other level that`s going to have to evolve….”

I300I and SAW technology

The International 300 mm Initiative (I300I) is working on a method for real-time monitoring of AMC based on surface acoustic wafer (SAW) microbalance sensors, coupled with cold-stage TOF-SIMS (time-of-flight secondary ion mass spectrometry). The SAW resonator technology was developed for NASA by Femtometrics (Irvine, CA) to measure extremely low levels of contamination on telescopes and solar panels. The Model NVR-200 SAW sensor uses an “electronic witness wafer” that mimics a silicon wafer to measure contamination adsorbing onto the wafer. Says Femtometrics president Bill Bowers: “We actually measure what`s sticking on the SIO2 wafer in real time. A lot of the other analytical techniques — like gas chromatography/mass spectrometry — sample the air, integrating and analyzing it over time to tell you what`s in the air.”

The sensor, which can detect a hundredth of a monolayer, vibrates at a certain frequency. When molecules stick to it, the signal changes. In conjunction with Charles Evans & Associates (Redwood City, CA), Femtometrics has developed a test whereby the surface can be analyzed to provide molecular-specific information about organic species at monolayer coverages using cold stage TOF-SIMS. The combination of the two techniques, says Bowers, provides an accurate measurement of both the amount of material adsorbed by the SAW and the chemical identification of the contaminant.

Intel proposes a standard

SAW sensor/TOF-SIMS technology has been utilized over the past three years by Intel for an ongong project of mapping its fabs, says Bowers. Intel has come up with its own test program, according to David Hope, microcontamination manager, Advanced Fab Design. The company plans to combine its efforts with I300I, eliminating several areas of overlap. The aim is to get the methodology adopted as one of the options for a SEMI standard. Hope`s main involvement with I300I is in using SAW to test for AMC in the FOUP.

Key testing will occur when the final FOUP is available. “We as an industry do not have a good method of saying `this is clean.` With a silicon wafer, it`s real easy to tell. You put it on a surf scan and all you have to do is look at it. With the FOUPs, how are we going to find out how clean the inside is when we`ve cleaned it? Have we left moisture in there? Particles?”

Another area where the SAW could be very useful, say both Hope and Bowers, is as a detector of filter breakthrough. “The only way you know your filter`s all used up is when the process goes out on you,” says Hope, who thinks minienvironments offer the best solution because they keep both processes and contamination localized.

“I personally don`t believe that there is a global solution for controlling AMC in the fab. I think it has to be a local solution. Basically, I think the minienvironment solution is what anyone who`s going to 300 mm will use, and that`s going to be the best answer for AMC control.”

Nitrogen purging

Whether utilized in the FOUP, in the tool, or even, as some predict, fabwide, nitrogen-purging offers, if not an economical, at least a clean solution. Asyst Technologies` Martin cites a customer problem solved. “We were working with a furnace supplier. They were making gate oxide in their demonstration labs and wanted to control the uniformity of the oxide zone to &#1771 percent. By the time they had measured the third wafer, it had already been 20 minutes after coming out of the furnace, and in an air environment, they`ve already grown another 4 angstroms of something. Therefore, they`re up around 10 percent before they`ve even measured the film. So it would seem that a prime candidate for connecting different process steps with a nitrogen environment would be gate oxide metrology to the polysilicon deposition step.” In fact, Martin says Asyst Technologies is now engaging with tool suppliers to explore joint development for obtaining process data in that area.

Air filters

In wet process areas, wet chemistries can actually induce materials to accelerate their own offgassing. For example, small amounts of acid vapor in the air can cause filters to accelerate the release of boron. In the pre-diffusion area of photolithography, they are very subject to dopant-type contamination. “Anything that gets on the wafers there gets baked or diffused into the product at that point, so you don`t have a chance to clean or remediate that surface at all,” says Michael Sutsko, a product specialist with W.L. Gore & Associates (Elkton, MD). The company offers filters based on its Expanded PTFE technology [using its Gore-Tex membrane or Dura-Net filter media]. Because PTFE is an inert material, it does not contribute to boron outgassing and is also chemical-resistant.

A lot of the resists used for DUV lithography are very sensitive. Some of the first major instances of AMC in the form of amines or nitrogen-based compounds, cropped up in this area, says Sutsko, an area which is increasingly much more sensitive to other materials as well, which can affect those resists. “The reason you have to use more sensitive resists is that they`re using different frequencies of light to make these smaller devices. To make it work, they need tougher and tougher resists to get better products. Then the resists are more sensitive and more subject to problems with chemicals in the air. Other problems are optical hazing of the wafer and the photolithography optics caused by organics in the air recondensing onto a surface. You get alteration of the surface chemistry of wafers themselves. Anything that`s a molecule in the air can react and change the property of the photoresist, and then you`ve sort of lost that device!”

Chemical filters

Another major milestone toward controlling airborne molecular contamination will be an industry standard methodology for testing chemical air filters, says John Higley, vice president of sales and marketing of Extraction Systems Inc. In fact, a test methodology is offered in an article entitled “Proposing an industry standard methodology for testing chemical air filters” by Oleg P. Kishkovich and Michael A. Joffe. Their test methodolgy utilizes the four classes of contaminants defined by SEMI/Sematech classification document SEMI F21-95 — acids, bases, condensables, and dopants — choosing a few species representative of each class.

Higley asks: “Which chemical filter do you buy? For HEPA or ULPA filters, there are standard test methods. You wouldn`t dream of buying one without having it tested by the manufacturer. But there are no chemical air filter testing standards. SEMI has approved the formation of a committee to do that and make this an industry standard. This is what we`ve proposed.”

Four process areas well-recognized as being affected by AMC were identified: pre-gate oxidation, salicidation, and contact formation processes — base contamination in DUV lithography environments — and acids, bases and dopants. To support their contention that chemical air filtration is rapidly becoming an indispensable part of environmental control, the authors have included AMC case histories dealing with customer problems such as organic amines and ammonia in parts-per-billion concentrations that poison chemically amplified DUV photoresist; the etching of HEPA filter materials with fugitive hydrogen fluoride, resulting in uncontrolled boron doping; and the condensation of volatile organic species on wafer surfaces, leading to deterioration of gate formation.

According to the proposal, the earliest and best known dynamic test measures filter breakthough time. In this test, air carrying a measured concentration of a contaminant flows through a packed bed of adsorbent of fixed depth; the time required for the contaminant to break through the bed serves as a measure of the sample`s adsorptive capacity. Results can be used for comparisons of different adsorbents with respect to various contaminants and can be directly applied to deep beds, such as gas masks or exhaust scrubbers.

Higley cites cases which he claims have already become de facto industry standards involving dopants changing the electrical characteristics leading to a catastrophic drop in yield. In both cases, he says, problems resulted from installing a HEPA filter, where some of the materials of construction contained organophosphates that offgas under operating conditions. “We had a 30-percent loss of transmission in three months, and we put a chemical filter on to filter out condensables and bases. Then they had a 3 percent loss in nine months.”

“I personally don`t believe that there is a global solution for controlling AMC in the fab. I think it has to be a local solution. Basically, I think the minienvironment solution is what anyone who`s going to 300 mm will use, and that`s going to be the best answer for AMC control,” he explains.

Click here to enlarge image

A technician tests installation of a chemical filter bank. Such multi-pass filtration systems are now being included in the recirculated airstream of advanced fabs to eliminate AMC such as fugitive solvent vapor generated indoors.

Click here to enlarge image

Click here to enlarge image

Click here to enlarge image

Top: A 2&#181 x 2&#181 molecular contaminant captured on KLA-Tencor 8100 CD SEM at 75kX magnification (Photo courtesy of KLA-Tencor Corp.)

Middle: A 6&#181 x 6&#181 molecular contaminant captured on KLA-Tencor 8100 CD SEM at 25kX magnification (Photo courtesy of KLA-Tencor Corp.)

Bottom: A 2&#181 x 2&#181 molecular contaminant captured on KLA-Tencor 8100 CD SEM at 75kX magnification (Photo courtesy of KLA-Tencor Corp.)

Click here to enlarge image

A 30 &#181m particle formed by AMC. (Courtesy of Extraction Systems Inc.)

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.