The shape of future fabs

The shape of future fabs

Fabs will become radically different from current designs. Proposed options include circular layouts, mag-lev single-wafer transport and dry-air cassette transport.

By Ed Korczynski

The concepts underlying semiconductor plant design have undergone little change over the years. Past productivity improvements were attained through wafer size increases and device shrinks, but both approaches are close to their limits.

Many conditions abound that tend to limit device manufacturing productivity to extremely low levels. Current fabrication equipment has only 50 percent net uptime, and wafer processing time is <30 percent of process wall-clock time. Poor productivity is partly due to the rapidity with which technological innovations occur in the semiconductor industry. The development of a new core technology allows little time to address productivity.

Low productivity results in inefficient energy consumption and increases in waste material generation. For example, there are as many monitor and dummy wafers consumed as prime wafers that end up in final products. Future fabs must be designed to minimize waste effluents, and to provide a safe and pleasant environment for the human operator.

Though IC manufacturing does not require large quantities of material in absolute terms, low production efficiencies result in large quantities of waste when compared with the amount of input raw material. Inherent processing constraints make it difficult to achieve both production and pollution goals, though both are vital to the industry.

Compared with the size of its products, the semiconductor industry consumes enormous amounts of energy, materials, and water. The development of technology to reduce the amount of input material is an important goal with huge potential to lower costs. The Plant Concept Subcommittee of the Production Cost Savings (PCS) Forum, sponsored by Semi Japan, compiled the results of questionnaires received from front-line managers and engineers in the semiconductor industry regarding these concerns.

Fab designs

Survey respondents predict that fabs will be one of two types: mass-production fabs capable of processing 10,000 to 30,000 300-mm wafers/month, or small-scale fabs that handle anywhere from 100 to 10,000 300-mm wafers/month. Since much of the current production capacity is already optimized, future fabs will also be divided into two additional categories: lowest possible cost through mass production, or large product variety in small lots through short-delivery cycles. Each type of fab must address its own set of issues.

There are two additional options for fab design that depend on trade-offs between equipment support requirements and manufacturing work-flow optimization: similar equipment laid out in a concentrated manner (job-shop approach), or different equipment laid out in the process flow (flow-shop approach), or in a mix of these patterns. The report concludes that even if the flow-shop approach is desirable, it is virtually impossible at present due to problems of equipment reliability, flexibility and throughput mismatch.

Work-in-process (WIP) management solutions will depend upon the previously mentioned fab design variables. WIP can be scheduled to maximize equipment uptime, or minimized to optimize turnaround time and equipment uptime (within a predetermined minimum range). Equipment uptime has to be optimized in mass-production fabs with stringent cost constraints, mandating a WIP increase.

Job-shop fab layout increases the overall wafer-transport distance, which increases the amount of WIP that must be carried, and requires stockers. The flow-shop approach, on the other hand, significantly reduces complete fabrication time. Some factories designed to produce a large variety of products in small lots have flow-shop layouts for reduced product delivery time at the expense of equipment uptime.

New technology that permits more rapid wafer transfer would enable a radical change in fab layouts; fabs could incorporate the advantages of both the job-shop and flow-shop approaches.

Transfer system concepts

According to the report, 21st century fabs may well be significantly different in design from the present ones. Incremental fab design improvements could result in steadily increasing costs that endanger the very existence of the semiconductor industry as a viable business sector.

Silicon wafers travel through hundreds of machines during process. The distance that a wafer travels in its journey from the beginning to the end of processing amounts to more than 10 km. Until recently, the cost of wafer transfer has been only a tiny fraction of that of fabrication equipment. Therefore, in order to maximize equipment operation, pieces of the same type of equipment are installed in clusters (job-shop layout) and wafers are shuttled over great distances.

The day is long past when it was possible to transfer wafers by hand. Automatic guided vehicles (AGV), though the current dominant technology, raise concerns over the potential danger of humans and AGVs operating on the same factory floor. Another transfer-related concern is the recent need to control not just particle contamination but molecular contamination.

The report describes several new factory design concepts, based on wafer transfer options. The following “big picture” examples were not subjected to critical review in terms of specific costs or capabilities. Nevertheless, it is hoped that these examples will provide valuable pointers for the future design and construction of semiconductor fabs.

Windmill fab designs

The name “windmill” refers to the radial arrangement of process equipment in a manner reminiscent of windmill sails. A wafer stocker is installed at the center of the fab and the process equipment (grouped into major functional categories) is laid out around the wafer stocker in a radial pattern (see Figure 1). This layout facilitates increases in the number of process tools (to accommodate increases in production volume) such that the distance from the central stocker is minimized for all tools.

Current molecular contamination prevention requires extremely expensive chemical filters with short service lives. Also, the energy required to pump the air in the cleanroom has substantially increased. Cleanrooms, as currently known, maintain ultraclean air in a space hundreds of times larger than what is actually needed for wafer processing. That ultraclean space should be minimized to reduce costs.

The proposed system uses micro-environment wafer boxes (similar to the proposed front opening unified pod, (FOUP) that would require only a Class 1,000 cleanliness level (see Table 1). The report states that the amount of particles, oxygen, and other harmful substances that are deposited on the wafer surface can be reduced by 10&#165 by using appropriately designed clean boxes.

Slow transfer speed limits the overall efficiency of the fab. However, much of the slowness is required to prevent particle generation in the cleanroom. The transport of clean boxes in a greatly relaxed Class 1,000 environment is less difficult, and several new approaches are possible: a linear monorail for wafer transport between the central stocker and local buffers; and automated overhead robots that travel in 3-D space between local buffers and individual machines. The overhead 3-D random-access robot operates on a point-to-point basis, with several times greater capacity than a ground rail-based AGV, and no risk of collision with human operators.

The proposed system links a large number of continuous processes by adjacent transfers, mandating the rapid replacement of any failed tool within a continuous process group. Failed tool repair and storage occurs in a downstairs Class 1 cleanroom that allows for equipment maintenance with an extremely short downtime. Because it is too expensive to provide backups for all tools, however, the optimal line configuration must be determined based upon machine-specific reliability data and experience.

As long as the wafer size remains the same, basically the same transfer system can be used in different fab generations. In this way, by using the same transfer system for a long time, we may be able to improve its reliability.

In the area of environmental concerns, the report envisions that electrical energy will be generated by a rooftop solar system, and all water will be recycled. The windmill fab uses as many reusable resources as possible in order to minimize pollution. To maximize safety, all production materials are transported to the inside and stocked as close as possible to their point of use.

The proposed windmill design for a 10,000 300-mm wafers/month fab yields substantial cost savings (see Table 2). Most of the savings are due to reduced cleanroom construction costs. Additional savings accrue from reduced electricity requirements for cleanroom operation, highly desirable in terms of the environment and resource use.

The requisite expertise for developing a windmill fab roadmap already exists in allied industries, and no significant difficulties are anticipated. The critical requirement is the overall system concept.

Chemical-free transfer tunnels

One minienvironment alternative to the FOUP involves transporting wafers in a chemical-contamination-free atmosphere without using PODs. Nitrogen gas is the most commonly proposed atmosphere, but the report advocates the use of dry air to reduce costs and increase safety. Because dry air tunnels have not been field-tested, many of the specifications cannot yet be determined.

Wafer transport in a cleanroom can be divided into three stages, based on the wafer surface requirements of the next step: complete absence of native oxide film (i.e., epitaxial growth); prevention of chemical contamination; and prevention of particle deposition.

The dry-air tunnel is designed for chemical-contamination-sensitive processes, including gate oxide contact and interconnect deposition, which represent an estimated 10 percent of all processes.

Dry-air tunnel technology assumes: an oxide film (1-atom thick) forms on the wafer surface; the wafer can safely be exposed to atmosphere for a short time during tunnel input/output operations (not requiring an ultraprecise load/lock mechanism); the dry air itself must be free of any chemical contamination; the wafer storage environment must meet the same contamination-free requirements; and any moisture on the wafer surface traps chemical contaminants, so the humidity must be kept at a minimum.

Because water dissolves chemical contaminants, the wafers` environment must be as dry as possible. The process of producing dry air removes chemical constituents along with water, and this reduces the load on the chemical filter. These are the principal reasons for the choice of dry air. The same objectives can be attained by other methods, with the choice determined by cost considerations.

Particle control is a critical constraint on the design of a dry-air tunnel. Moving parts inside a tunnel can both generate particles and create turbulence that moves particles to wafer surfaces. The tunnel must be designed so that laminar airflow is maintained. Static electric charge build-up in dry air can also attract particles to wafer surfaces.

The cost of dry air is two orders of magnitude higher (per unit volume) than the cost of air conditioning for a typical cleanroom. In order to reduce the cost of chemical-free dry air, both the unit cost and the required volume must be reduced.

Magnetically levitated wafer transfer

Although a transfer system in which only silicon wafers rapidly travel in a vacuum tunnel has been envisioned by many people, few practical methods exist for bringing this dream into reality. Recently, however, a system was developed wherein silicon wafers lying on 3-mm-thick aluminum carriers zip through vacuum tunnels without contacting the tunnel walls. The requisite locomotion and branch control techniques were also developed (see story on page 27).

Wafers are transported individually through vacuum (or ultrapure nitrogen) ducts from the beginning to the end of process without ever coming into direct contact with the atmosphere. In principle, this system operates without requiring stockers.

A number of noncontact magnetically levitated transfer systems have been proposed. In this variety, the only objects that travel on a mag-lev basis are the aluminum carriers. These carriers are not equipped with any drive power or active elements, so the interior volume of the vacuum tunnel can be extremely small.

A column of electromagnets levitates and rapidly moves the carriers between different pieces of fabrication equipment. The vacuum tunnel is made with stainless steel that is largely immune to the effects of magnetism.

Vacuum tunnels transport wafers only across long distances. Robotic arms on the process chambers accomplish the short-distance transport of wafers in a quasi-flow-shop layout. Most inspection steps would occur as wafers are transferred from one robotic arm to another.

It is assumed that a fab incorporating this type of vacuum tunnel will have a processing capacity of 10,000 300-mm wafers/month, and use a synchrotron as the lithography source. Even if x-ray lithography is still years away, incremental improvements to synchrotron designs could yield cost-effective output of UV rays in the wavelength range of 100 to 200 nm.

In the example, a synchrotron light source operates at the center of the fab. Steppers and developers are placed around the synchrotron. All processes, with the exception of the lithography, are laid out along the radially symmetric vacuum tunnels around this central core (see Figure 2). The vacuum tunnels in this design have a total length of 850 meters. Rough calculations suggest that the vacuum tunnel is well within the realm of feasibility in terms of cost.

In this scheme, all process chambers and intermediate robotic transfer units have standardized external shapes and connection mechanisms. Conceivably, standardized robotic transfer units could yield substantial cost savings due to the economies of scale.

There are no restrictions on the number of process chambers that make up a process-flow unit. Semiconductor manufacturers can freely mix and match process-flow units to suit particular process requirements. Process flows are linked in a continuum, and the input and output points are connected to the long-haul transport system. Fabs can gradually increase the number of interlinked steps as experience in operating continuous processes increases and the reliability of machines improves.

Conclusion

Innovative, revolutionary fab designs will be required to meet future industry productivity goals. The proposals presented in the Semi PCS-IC Forum report are only some of the possible solutions. The Plant Concept Subcommittee welcomes any comments from readers regarding the report. CR

Acknowledgments. The PCS Forum was led by Chairman Bujiro Kobayashi and Vice Chairmen Keiichi Shimakura, Yoshitaka Kawasaki, Issei Imahashi, and Richard Dyck. Contributors to this report included Hiroshi Isaji, Kazushige Imahashi, and Tadao Kato. The report, “The shape of semiconductor plants in the years 2000/2005,” was originally edited by Semi Japan. The English report, translated and edited by O`Mara & Associates, Palo Alto, CA, is published and available through Semi Technical Publications, 805 E. Middlefield Rd., Mountain View, CA 94043, phone 650/940-7903.

Ed Korcynski is senior technical editor of Solid State Technology magazine.

Click here to enlarge image

Figure 1. Layout of a windmill fab.

Click here to enlarge image

Figure 2. Round-shaped plant using an SOR light source.

Click here to enlarge image

Click here to enlarge image

Magnetically levitated, in-vacuum, single-wafer transfer design assumptions

1. No wafer cassettes are used. Wafers travel rapidly one at a time through a vacuum or dry-nitrogen tunnel.

2. The wafer carrier is a passive, mag-lev, 3-mm-thick aluminum plate.

3. In principle, the proposed wafer-transport system does not use any stockers; it is serviced by buffer units capable of holding dozens of wafers.

4. The use of synchrotron light sources, to be achieved by the year 2010, dictates a circular fab design.

5. The standardization of all processes, including the transport operation, at near vacuum levels speeds up wafer gate opening/closing and minimizes particle generation and movement.

6. The only batch operations are limited to implantation and vertical furnace processes. The rest of the processes, including cleaning, are single-wafer operations.

7. Because the wafer is never exposed to the external atmosphere, the required number of cleaning operations is reduced.

8. The fab consists of a lithography unit, flow-shop process units of~10 steps, a minimum number of batch-processing units, and some inspection units that must be deployed in a centrally concentrated pattern.

9. Most inspection processes are automated and distributed between standard transfer units that link the various process units. — EK

This article is reprinted from Solid State Technology`s Asia/Pacific Supplement (May 1998, page 57). For more information on Solid State Technology, visit the magazine`s web site at: www.solid-state.com.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.