Automation or Not

Automation or Not

Cleanroom tools are becoming smarter, but `lights-out` automation is still a long way off

by Carolyn Mathas

Like many industries, semiconductor and disk drive makers hear the drum beats driving them toward manufacturing automation. Yet, despite the allure of burgeoning automation capabilities, savvy users have found that the greatest benefits are not in automation for its own sake; but rather automation for specific purposes, geared to the needs of a process.

“For us, automation has two components,” says David Williams, vice president for manufacturing at Cirent Semiconductor (Orlando, FL), a joint venture between Lucent Technologies and Cirrus Logic. “First is material handling automation, including robotics, overhead tracks, stockers, sorters, etc. Second is information automation: the movement of information — recipe downloads, data collection, and inventory profile information — between equipment sets.”

At Philips Semiconductor (Albuquerque, NM), site operations manager Terry Sullivan also ties automation to a process.

“Automation is important in our new eight-inch fab. At 0.25 and 0.18 micron geometries, you can`t afford to make a fab into a Class 1 environment with wafers around,” Sullivan says. “Moving wafers effectively in that setting is impossible. SMIF pods and the automation of moving things between them is a given.”

Once you`re dealing with a pod environment, the issue becomes the loadlock system –inside the chambers themselves, around the interface between a pod and a piece of equipment. That`s where most of the challenges will be in the future when we`re down even further at 0.13 micron,” Sullivan explains.

Disk drives — special challenges

In contrast to their semiconductor brethren, some disk drive makers view automation as a means to reducing a major source of contamination: people. Some automation enthusiasts consider this the holy grail of automation.

Extreme competition combined with falling disk drive prices, and such issues as molecular contamination pressure the disk drive industry.

“The market demands that the bit density of our disks increase at an incredible rate,” says Ralph Herrington, facility engineer and manager at Seagate (Fremont, CA). “That in turn demands that manufacturing facilities become cleaner. We deal with that in several ways, but a major effort is through automation — getting people out of the space. We`re removing people by bringing in automated guided vehicles (AGVs) and other technologies. The long-term vision is to have an automated system. We`re about 50 percent there.”

Although “fully-automated” and “lights-out automation” are often mantras of cleanroom circles, a high-level look at the status of automation in clean areas indicates the complete ban of people is still a long way off.

Dan Hutcheson, president of market research concern VLSI Research (San Jose, CA) relates how a Japanese manager put automation into perspective for him. “He told me that Americans spell computer-integrated manufacturing (CIM) with a `C,` and he spells it with an `S.` When asked for clarification, he indicated that in Japan, it`s called socially-integrated-manufacturing, stating that the point of automation is to strengthen humans by taking away jobs where they`re weak, but leaving jobs where they`re strong. And, the underlying problem with full-site automation is that humans are taken away from the things they do best.”

VLSI notes that in the past few months, a wave of automation industry consolidation has begun, as few companies in the business are able to make money. Customers aren`t buying in droves due to perceived return on investment issues. Hutcheson indicates that “Many of these [automation] companies haven`t done that well, mainly because [their products] could tell you where the lots are supposed to be, but often not where they really are. The reason: they aren`t linked into a complete system yet.”

“Automation is progressing rapidly,” echoes Herrington of Seagate. “Ultimately we peek over the shoulder of the semiconductor guys to see what they`re doing. They lead in cleanroom technology and automation use.”

A view from the fab

What they`re doing at Cirent Semiconductor`s Orlando II facility is using automated material handling between cleanroom bays and between stockers in each of the bays. Overhead tracks and rails, driven by the host manufacturing computer system, move wafers on each car between the steps of the process. The facility opened in 1996 to manufacture application-specific integrated circuits (ASICs), which support a large custom logic business, and digital signal processors (DSPs), which support cellular, modem and pager divisions, and network communications products. It has efficient storage of material from subfloor to ceiling. Stockers allow for the number of lots per square foot of cleanroom space to be higher than would be possible with a manual system.

“We use operators rather than AGVs to deliver material,” says Williams. “We`ve convinced ourselves that people are more flexible, and this is an ASIC business. We run many codes and different process logs, so trained and informed people are far more flexible and expedient. Software needed to drive an AGV or major robotics couldn`t keep up with the changes in an ASIC business.”

Cirent spends more time and money on information automation — a focus on recipe download, control from the host of the proper process, and also on data collection. The ability of a host system to monitor a bar-coded individual in front of a bar-coded machine, who has a bar-coded lot in front of them — the complete process is verified by the host to ensure proper steps are run by individuals using proper material. “More than 90 percent of the process equipment in Orlando II is connected to the host computer,” explains Williams.

“We`re driven by our customers for short-interval orders,” says Williams. “The business pressure of `Internet Time` is a popular phrase meaning semiconductor fabs must be fast, timely and flexible. It would be a mistake to view a fab as some kind of stand-alone factory. It must be seamlessly integrated into the enterprise system — including financial, management, and scheduling functions.” Williams describes Cirent`s goal further, “We have a view of the sales office having a conversation with a customer, changing the delivery date of an order, and having that change flow in real time back to the factory, where adjustments are made to factory priorities.”

Back in Albuquerque at Philips Semiconductor, Sullivan is converting a four-inch fab into a six-inch facility, in addition to building its eight-inch fab. The converted fab is non-automated, without SMIF or minienvironments. Within the upgraded facility, products are manufactured using the company`s BiCMOS processes called QUBic-I and QUBic-II for communications applications and automotive use.

The eight-inch wafer facility will house a QUBic-III process for next-generation BiCMOS product production and the development of QUBic-IV. “The eight-inch fab is a ballroom minienvironment. It`s our first brush with automation in Albuquerque, although Philips has automated fabs internationally such as the MOS4 fab in Nijmegen, The Netherlands. We`re relying on the company`s experience to bring up the Albuquerque effort. The 17,000-sq.-ft. facility will be finished in May. We should be running wafers by the end of September,” says Sullivan.

Likewise, according to Herrington of Seagate, the disk drive market is both upgrading existing facilities and building new ones. “Seagate is upgrading, and a lot of our competitors are building new fabs. Minienvironments become a huge factor in upgrading without exorbitant expense.” He adds that automation is necessary just to stay in business.

Today, the 3.5-inch form factor dominates, and equipment is scaled to that size. “Recent developments will cause us to adjust the form factor slightly, but our equipment can handle that,” Herrington says.

As lower flying height separation between the head and disk surface occurs, the concern is that increasingly smaller particles will cause drive failure. Particle contamination becomes critical and is very high on the priority list for controls, according to another major disk drive supplier who chose to remain anonymous. Monitoring includes particle as well as environmental-condition sensors placed strategically in manufacturing that provide feedback on particulate contamination.

Two types of particles are important, according to this source: airborne particles and contamination within the facility cleaning system. For example, it is mandatory that DI water be very clean, so particle sensors give information on particles within the liquid. Total organic carbon analyzers determine DI water quality as it enters the plant. This water is treated to meet resistivity and conductivity specifications. All of the hardware is integrated through a software network, allowing individual station access to the system for almost instantaneous feedback.

The disk drive industry in general is in the process of automating, but most companies are in a state of evaluation. “The next step in our effort,” according to this source, “is to incorporate a feedback control loop so that if a situation is out of spec, that information will be relayed and halt operations. When performed manually, there isn`t sufficient time to take corrective action.” The feedback control loop will provide monitoring and control.

“A few companies, however, have done extremely well in cleanroom automation, and become successful where others are failing,” indicates Hutcheson of VLSI Research. “Two of them are PRI Automation and Asyst. Asyst isolates wafers from humans and improves yields measurably. PRI Automation addresses the issue that wafers are precious — a box worth as much as a Mercedes — by automating the process of moving wafers between stations, and inventory off of the cleanroom floor. They enable lots to arrive at the right location at the right time.”

Hutcheson asserts that tools themselves, although becoming more automated, aren`t that smart given their rudimentary feedback loops. They are able to figure out if a wafer is present or not, or if it is out of alignment, where it is in the machine, or if it`s broken — all of which they were unable to do in the recent past.

Two additional examples representing the comedic and tragic sides of automation support that claim: “I saw a video once of a line in Detroit. Robots had fallen out of alignment. The line had fenders coming through for welding. The robot diligently welded each one, but the welds were made about a quarter of an inch too high. As soon as the clamps were released, the fender would fall off because of the improper weld. The machine, however, continued to work, plowing fenders into a big pile, ruining them all,” says Hutcheson.

A tragic example indicates what is riding on new product introductions. “A company introduced an etcher at a trade show. They turned it on for a large audience the first day of the show. It immediately proceeded to break all of the wafers. The future of the company relied on the successful rollout of this product. When it broke wafers during the demonstration, potential customers walked away in disgust. Not one of them sold.”

Lights out?

“We haven`t seen many fabs turning the lights out. People will be in fabs for quite a while. They may not be physically lifting materials, but they will be present, augmenting the capabilities of the operation,” says Anthony Bonora, chief technical officer at Asyst Technologies (Fremont, CA).

“The Japanese built fully-lights-out facilities and found that, the facilities didn`t learn because there were no humans,” says Hutcheson of VLSI. “Computers make the same mistakes repeatedly in perfect, precise form. Where automation is extremely successful is in areas where it does things humans don`t do well — the boring tasks like making sure the product got to the right place at the right time, and loading it onto a tool. A human should still be there to make sure the tool operates properly.”

And finally, according to Hutcheson, “A plant manager told me (after choking on automation), `we took this wonderful mechanism that was voice programmable, has 16 million colors of visual resolution, a fully-articulate hand and arm, legs that could move around, and the ability to avoid all obstacles. We replaced it with a blind, one-armed mechanical claw with five degrees of freedom, and had the audacity to believe it would be better — just because we couldn`t program the human mechanism.`”

Click here to enlarge image

Click here to enlarge image

Click here to enlarge image

Above left: Here, 300 mm wafers are being transferred from 300 mm front-opening unified pods (foups) configured with automated lot identification into a vacuum loadlock or cluster wafer process tool. Photo courtesy of Asyst Technologies.

Above center: Cirent Semiconductor`s Orlando II facility. Photo courtesy of Cirent Semiconductor.

Above right: To address emerging wafer processing strategies, automated wafer handling will need to incorporate the reliability, repeatability and robustness offered by newly designed direct drive robotics. Photo courtesy of Asyst Technologies.

Click here to enlarge image

An operator at a PRI-7000 stocker. Photo courtesy of PRI Automation.

Click here to enlarge image

Supplier-side view

“Smart manufacturing is the future — smart tools, smart lot transfer, feedback control –harnessing the very technology these machines were created for. There is a lot to do as far as tool productivity, eliminating tool-to-tool signatures, etc., things that haven`t yet been touched,” says Dan Hutcheson, president of VLSI Research (San Jose, CA).

A sampling of automation companies agree and are forging ahead with smart manufacturing practices.

Process tool automation

“It`s true that 300 mm fabs are moving ahead slowly,” says Charles Janac, president of Smart Machines (San Jose, CA). “But what we are seeing are some of the concepts developed for 300 mm equipment being implemented in the 200 mm world. For example, increasingly more process tools are being fed with atmospheric front ends or buffer stations where a robotic system unloads SMIF pods, feeding them into the process tools. It`s a minienvironment tool providing an ultra-clean environment where it`s needed — in front of the tool.”

Janac also cites development of copper isolation capabilities, where specialized front ends or sorters isolate a copper process from non-copper processes.

Smart Machines is shipping atmospheric robot products optimized for process tool loading applications for both 200 mm and 300 mm wafers that eliminate linear tracks, and are capable of moving around corners. The robots provide a linear placement of wafer pods and loadlocks, or stages, without the need for a lateral track.

“As technology goes to 0.18 and 0.13 micron, everything becomes a killer particle,” says Janac. “There`ll be more pressure on various moving components in the process tool that generate particles –restricting material choice even further. And these pressures result in increased customer demand for testing and test data.”

According to Mitchell Weiss, vice president for strategy/technology at PRI Automation (Billerica, MA), “A semiconductor factory has the most complex material flow of any manufacturing process.” The emphasis now, according to Weiss, is “a capability that will allow us to, in real time, schedule material flow through a factory in a way that optimizes output, with the same amount of equipment. A couple hundred process tools and a few thousand lots in the factory undergo hundreds of process steps. So, we`re combining the software [plans] with our hardware [equipment] to carry out those steps, offering a new level of plan execution.”

In late 1998, PRI acquired Promis Systems Corp. (Toronto), a developer of manufacturing execution systems (MES). Combining automated handling equipment and an MES that knows what to do and when, the groundwork is set for a third element — the collection of data on the performance of each step, and then process flow modification.

Anthony Bonora, Asyst Technologies` (Fremont, CA) chief technical officer cites several pressures on the industry, “The rate of increase in capital expenditures in building fabs is of great concern to end users. It affects their business model. Equally important is the challenge of higher reliability and predictability. For example, the mean time between failures (MTBF) in today`s tool for partial automation is considered unacceptable. When even more automation is added, customers are concerned that MTBF will further erode. Analysis indicates that key building block failures are extremely serious in terms of the financial impact of running a tool. Higher throughput speed and smaller footprints in the tool front end are also important.”

For the next few years, Asyst predicts both end users and OEMs will use a bridging philosophy to migrate to next generation tool platforms — accommodating 200 mm or 300 mm wafer applications with similar tool front ends and performance characteristics. Another trend is toward turnkey automation whereby if a tool is purchased from Lam Research, Novellus, or Applied, automation features and capability will come as a turnkey package. A third trend is a continuing migration toward production isolation — be it pods or FOUPs. Fourth, there will be an upgrading methodology for existing fab space. Fabs built within the past several years will need to upgrade to accommodate 0.2 micron technology and below, for products that will be introduced early in the next century.

Enabling production system technology

Lam Research (Fremont, CA), supplies three complementary production systems: dry plasma etch; integrated chemical mechanical planarization (CMP) and cleaning; and standalone wafer cleaning systems. Lam is not only a supplier of equipment, but is using Smart Machines` vacuum robot technology in a number of its development programs.

Says Larry Wise, senior director of platform engineering, “Two things happen when you introduce a lot of automation. Even though people are better gowned, and better processes exist to minimize particles, the number of tolerable particles has also decreased. Automation that removes people from the wafer handling path improves predictability. Second, particles are also introduced by a lack of repeatability. If, for example, a cassette sits somewhere longer than another cassette, it is subject to different conditions. When you fully-automate wafer movement, repeatability and predictability become well defined.” — CM

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.