Step 8: Package Inspection

With the trend toward smaller packages and tighter tolerances, the role of total package inspection is becoming more vital to the assurance of final product quality. At the same time, the drive for cost reduction and flexibility dictates that all inspection requirements be satisfied by a single, integrated, high-throughput inspection platform.

BY GARY DAWSON

Click here to enlarge image

As electronics manufacturing moved to surface mount technology (SMT), more stringent tolerances were imposed on the mechanical outlines of fragile, fine-pitch surface mount devices (SMDs) than ever had existed with their through-hole predecessors. To ensure reliable solder joints, every lead on an SMD had to fall within tight positional tolerances in the x, y and z axes (Figure 1). Thus, the need for final mechanical quality assurance (QA) inspection in three-dimensional space arose. To provide the necessary comprehensive verification of physical device quality, stand-alone inspection systems are placed strategically at the end of the package assembly and test process lines (Figure 2). These inspection systems are designed to ensure that only products in compliance with all mechanical outline specifications are shipped to end users. Furthermore, these machines must provide accurate and reliable metrology, mark inspection and package defect inspection, while keeping pace with today's ever-increasing throughput demands.

Final QA

While visual inspection can be, and often is, performed in upstream processes to identify trends and point to corrective action, the last step in the manufacturing and test line always should include a final QA inspection to guarantee that all products meet dimensional tolerances and customer-imposed cosmetic criteria. Even a manufacturing process line that is in control at one point can exhibit drift or sudden changes, underscoring the need for a final QA safety net. Because every process step is a potential source for damage, final QA should occur as the last step, with no additional handling thereafter.


Figure 1. Common defects found in leaded devices.
Click here to enlarge image

The key differentiation between final QA and process monitoring is that QA, which is performed at the end of the line, must be more discerning because it is the last chance to weed out defective products. Additionally, the final QA check must be capable of catching all forms of defects, whereas process monitoring only needs to recognize gross trends or shifts within a particular process. Although they can be placed at the end of the line, tools originally intended for process monitoring seldom are suitable for the more demanding responsibility of QA. Because automated vision tools used for QA purposes are more discriminating, they too can be implemented upstream for process monitoring, but this typically is considered impractical and unnecessary; lower-cost, less-capable vision modules usually occupy these upstream positions adequately.

100-percent Inspection vs. Sampling

The supposed advantage of sampling lies in a perceived cost savings because of the smaller number of machines required to inspect a given production volume of parts. However, as a true QA function, final inspection requires that each part be checked meticulously to guarantee the quality of all parts shipped. Sampling, on the other hand, uses a statistical analysis better suited to process steps where quality assurance is not the primary goal. If sampling were used for final QA, there inevitably would be some defective products escaping the plant undetected, resulting in detrimental consequences if discovered by customers or when subsequent failures occur. Given the promise of product quality that 100-percent inspection provides, along with the extremely low per-pin cost associated with today's high-throughput, automated-inspection tools, the risks associated with QA sampling greatly outweigh any perceived cost savings, lending validity to the 100-percent inspection philosophy.

Device Handling Hazards

A number of upstream processes can inflict lead and package damage to fragile SMT devices. But device-handling itself -either within a given process or between successive process steps – often is the primary source of lead and package damage. As such, inspection systems that must handle the devices as part of the inspection process run the serious risk of introducing the very damage they ultimately have been designed to catch. To guard against the possibility of introducing post-inspection damage – after devices already have been declared “good” – some automated inspection tools perform the QA verification process without removing the devices from their protective trays. This in-tray inspection technique thereby avoids the risks associated with unnecessary device-handling prior to shipment.

The Components of Total Package Inspection

Total package inspection for final QA at end-of-line consists of metrology, package-defect inspection, device orientation and mark inspection. Metrology is the exact science of dimensional measurement that is easily quantifiable through gage studies, making it “specification friendly.” Device orientation is simply a go/no-go verdict that verifies proper part orientation. On the other hand, package-defect and mark inspections are far more subjective than metrology in that the defect modes are not always specified according to size and often do not correspond to specific geometric shapes.

Metrology: The Core of Mechanical QA

The most important aspect of any inspection system is its ability to measure precisely and report dimensional criteria in accordance with JEDEC or company internal mechanical outlines. To quantify a given vision system's ability to perform such tasks, gage repeatability and reproducibility (GR&R) and accuracy tests routinely are performed. GR&R studies reveal in terms of percentage – the lower, the better – the ratio of the distribution, or spread, of measurements for a specified measurement tolerance. An individual GR&R percentage is derived for each measurement parameter with respect to the tolerance for that parameter. For example, if a measurement tool exhibits a 3s spread of 0.3 mils for a 3 mil coplanarity tolerance, then the GR&R percentage for coplanarity would be 0.3 ÷ 3.0, or 10 percent. Most metrology tools are held to GR&R percentages of > 10 percent for all measurement criteria to be deemed “capable.”


Figure 2. End-of-line package inspection captures the broad range of defects that may occur throughout the line.
Click here to enlarge image

Accuracy, on the other hand, refers to a tool's ability to measure to a known standard. This differs from GR&R in that a measurement tool can possess an excellent GR&R percentage (implying consistency of measurements) yet consistently report the wrong values. To validate accuracy, a specially designed “golden device” – a precision-machined unit made to closely resemble the physical outline of the family of devices it is intended to represent – often is used. However, provisions must be made to ensure that the golden device is robust enough to endure the hazards of operator handling. Measurements then are made and documented on the golden device using a NIST-certified metrology instrument, which is typically more accurate by an order of magnitude than the tool that the golden device is intended to validate. The golden unit now is certified to a NIST-traceable standard and is ready for use.

Package Visual Inspection (PVI)

While metrology may be at the heart of any QA inspection system, package-defect detection is an equally important component in total package inspection. Cracks, chips, voids, contamination and other non-metrology defects resulting from the assembly and test processes also are cause for rejection, even though they are not necessarily included within JEDEC specifications and standards. Some of these defects are objectionable for purely aesthetic reasons and pose no real threat to reliability; others, such as cracks in the package or substrate, may result in catastrophic device failure during the surface mount process.


Figure 3. Major components of cost-of-ownership.
Click here to enlarge image

The growing popularity of automated PVI stems from the common goal of many device manufacturers to eliminate manual inspection. Equipment costs, floor space and required operators can be reduced dramatically (resulting in far better inspection cost-of-ownership [ICOO]) by offering PVI on the same platform as metrology. The vision module(s) responsible for PVI (two are required for both top- and bottom-side device inspection) typically rely on camera-based vision, which lends itself well to non-metrology defects. Paramount to the success of PVI is the illumination technique that accentuates defects to make them obvious and easy to capture by the camera for subsequent comparison to a known good model. Certain applications may call for multiple image acquisitions using different lighting techniques, or for subdividing a single package into smaller pieces to increase resolution and to expose the broad spectrum of defect types and sizes. The two most common lighting techniques are on-axis (bright field illumination) to expose defects that exhibit variations in reflectivity, and off-axis (dark field illumination) to reveal defects that differ in height.

Device Orientation and Mark Inspection

Ensuring that devices are oriented properly is a relatively easy task for a camera-based vision system. However, orientation is nonetheless critical, because an incorrectly rotated device in tray or tape can yield devastating consequences when placed on a printed circuit board. Using the same vision module that affords topside PVI, both part orientation and mark inspection can be performed concurrently with PVI. As with PVI, known good-mark models are trained as a basis for pixel-based comparison with subsequent images from parts with unknown mark status. Sophisticated algorithms look for mark position, contrast, overall mark and individual character quality and other defects associated with legibility. In addition, if a part carries a 2-D symbology code (such as data matrix) used for individual part traceability, that code also can be read during the inspection process.

Inspection Cost-of-Ownership

An industry-accepted cost-of-ownership model for inspection equipment is not the same as that used for process equipment. Two additional components have been added that reflect the unique costs associated with over-rejection (false alarms) and under-rejection (escapes) of revenue parts during the inspection process (Figure 3). These additional costs, which factor heavily into the ICOO model, can eclipse the more traditional costs that are common to both process and inspection equipment. More accurate and repeatable metrology tools have the important benefit of yielding better measurements with greater certainty. This, in turn, equates to substantial cost savings over time by permitting smaller guardbands to be implemented at the threshold of rejection.


Figure 4. System accuracy vs. over-rejection.
Click here to enlarge image

Guardbanding is a common practice by which the measurement uncertainty of the metrology tool is subtracted from the rejection threshold value in order to safeguard against potentially bad products being declared good because of the tool's inherent inability to measure perfectly and consistently (Figure 4). However, guardbanding actually creates over-rejection while eliminating the far worse condition of under-rejection. Through routine guardbanding, the potentially devastating consequences of shipping marginally bad products are averted in favor of knowingly scrapping some good products instead. More capable metrology tools can minimize these losses linked to over-rejection by affording better measurement certainty that, in turn, permits reduced guardbanding, ultimately resulting in less over-rejection.

Machine capability analyses are rigorous tests that help to qualify an inspection tool's capability via accuracy and GR&R testing. Clearly, the more capable metrology tool is always advantageous to the inspection process and eventually justifies, through the ICOO model, any price premium paid over lesser tools.

System Flexibility and Adaptability

Contract assembly and test houses must support such a broad spectrum of part types in-house at any given time, and face such extraordinary time-to-market pressures, that equipment flexibility is of paramount importance in assuring quick turnaround of products. And, because final QA is the last step before revenue shipment, there is a great deal of pressure to avoid delays in the process at this point. Therefore, inspection-tool flexibility and adaptability – particularly with regard to programming new form factors, quick part and lot changeover, as well as the ability to adapt instantly to new families of parts – are often crucial advantages.


Figure 5. Laser-based vision provides detailed 3-D measurements of critical device parameters.
Click here to enlarge image

Certain vision approaches are inherently more flexible and adaptable than others in that they avoid barriers that might otherwise preclude the inspection of some parameters on certain part types. Laser-based vision, in particular, is very well-suited to metrology because of its superior measurement accuracy and adaptability (Figure 5). Camera-based vision, on the other hand, is extremely adept at verifying device orientation, performing mark inspection and finding package defects. Ideally, a total package inspection system should offer the best of all vision techniques on a single platform. In this way, the appropriate vision solution can be employed to fit each application – not vice versa, which always results in a compromise to the QA function.

Conclusion

With the continual trend toward smaller packages and commensurately smaller tolerances, the role of total package inspection is becoming increasingly more vital to the assurance of final product quality. At the same time, the drive for cost reduction and flexibility dictates that all inspection requirements be satisfied by a single, integrated, high-throughput inspection platform aimed at achieving the best ICOO.

The challenge that inevitably lies ahead for total package inspection is to deliver vision solutions capable of furnishing the required levels of measurement precision and defect resolution while meeting ever-growing throughput demands. One thing is certain: Total package inspection no longer is a QA consideration – it has become an absolute necessity to remain competitive in an environment where superior product quality often is the key advantage.

GARY DAWSON, product marketing manager, can be contacted at RVSI, 425 Rabro Drive East, Hauppauge, NY 11788; 631-273-9700; Fax: 631-273-1167; E-mail: [email protected].

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.