New Products

For faster response go to www.onlinecenter.to/ap and request information.

Inspection and Failure Analysis System
The NXR-1510 is a new full-featured, automatic X-ray inspection and quality assurance test system. It features three-axis rotation through 360 degrees, ability to handle boards up to 18 x 24 inches, a high-resolution 100 k X-ray source and 65x image magnification. It has image analysis tools for enhanced measurement capabilities including drill offset, diameter, moment ratio, elliptical pattern, solder density ranges, threshold library, percent voiding, auto-void count, die attach voiding and wire sweep. It is designed to provide entry-level inspection for contract manufacturers and electronic OEMs, especially SMT boards using BGAs, flip chips, µBGAs and other high-density packages. Nicolet Imaging Systems, San Diego, Calif.

Handling and Inspection Machine
Ismeca introduces the MP400T, the latest addition to its MP series of component handling and inspection machines. The new system adds electrical testing and laser marking to the MP400 mid-volume, tray-to-tape and tray- to-tray platform. The system provides true three-dimensional lead/ball coplanarity inspection and mark inspection on BGAs, CSPs, and other two- or four-sided components. Available options are a laser to mark the components during processing and a feature that allows the parts to be electrically tested before final packaging. Ismeca, Vista, Calif.

Click here to enlarge image

Oscillators
Vishay Intertechnology's new pair of clock oscillators feature a 3.3-V input and a 1- to 70-MHz range. They also feature TTL and HCMOS compatibility and a tri-state feature that allows the output to be placed into high-impedance state. Standard and industrial temperature ranges are available with two through-hole hermetically sealed package options: 14-pin DIP and half-size 8-pin DIP. Input currents for the oscillators range from 10 to 30 mA, with rise and fall times of 10 ns maximum. A ±100 ppm frequency stability over operating temperature range is standard, with ±25 and ±50 ppm available. The new oscillators will provide clock signal sources for digital ICs and microprocessors in low-power applications, such as computers, portable peripherals and communications equipment. Vishay Intertechnology, Malvern, Pa.

Click here to enlarge image

Laser Marking System
Unitek Miyachi Lasers has introduced the Class 4 system that is well-suited for oversized applications and developmental work. It features an adjustable Z-axis rack and pinion linear motion guide and a large 16 x 16-in. worktable, allowing easy access to oversized parts. It is capable of permanently marking metals, plastics, semiconductors and ceramics. Its Windows-based software can create, edit and execute both simple and complex marking programs. The system can also accept up to seven marking schedules, 24 standard bar codes and 23 graphic files. Unitek Miyachi Lasers, Monrovia, Calif.

Click here to enlarge image

Curing System
The CoolCureXL system, RC-747, is a UV pulsed curing system that reportedly provides deep penetration cures without any heat-induced damage to substrates. It delivers high peak, broadband light free of damage from thermal stress. It has a spiral-shaped pulsed lamp, optimized with synchronous high-speed electronic pulses. This system is useful in many fields, such as flat panel displays, printing, semiconductors and film coatings. It is a non-toxic, mercury-free operation. Xenon, Woburn, Mass.

Click here to enlarge image

Solder Paste Printer
The Panasert SPF Super Print solder paste ma chine delivers fast printing speed and cycle- times as low as 8 seconds per board. It uses an open-type, high-speed squeegee head de signed to maximize speed up to 220 millimeters per second and improve solder paste printing time. It delivers accurate printing for components from 0603 microchips to 0.3-mm pitch QFPs and 0.5-mm CSPs. It also uses a high-speed vacuum to remove solder paste from the underside of the screen mask preventing clogs from forming in the opening. Panasonic Factory Automation Company, Franklin Park, Ill.

Media Transfer System
The ST-595-2 fully automated media transfer system combines fast changeover with high throughput, offering safe, reliable high-speed handling of QFP, TSOP, LCC, BGA, CSP and similar devices. A full range of vision inspection capabilities is said to ensure high quality and eliminate product defects for end-of-line production. Features include software that eliminates the need for application-specific tooling and adjustments during changeover and requires no camera recalibration. Parallel device handling enhances throughput. Two pick-and-place heads can rotate 90, 180 and 270 degrees. The system may also be configured for tray-to-tape or tray-to-tray operation. RVSI, Canton, Mass.

Click here to enlarge image

Temperature Sensor
The Temprobe has been developed for use in lead-free soldering, where peak temperatures can reach 275°C. It is a precision temperature-sensing tool that can be placed anywhere a temperature reading is required, even in solder paste. It will plug directly into any standard type “K” thermocouple profiler or readout device. The Temprobe maintains high-contact pressure on the thermocouple to ensure accurate, repeatable readings. Saunders Technology Inc., Hollis, N.H.

Click here to enlarge image

Photoetching
The photoetching process allows Tech-Etch to produce intricate components that cannot be duplicated by other production methods. Custom light-gauge parts can be manufactured with intricate patterns, precise tolerances and burr-free edges with exact repeatability. Metals, such as beryllium copper, stainless steel, aluminum, titanium, molybdenum, brass and spring steels, as well as polyimide film for flexible circuits, can be etched. Parts can be as thin as 0.005 inches. Special processes, such as assembly and finishing, are also available. Tech-Etch, Plymouth, Mass.

Wafer Stepper
The Saturn Spectrum 300 offers 300-mm lithography capabilities to customers in the flip-chip (bump) processing arena. The system's introduction is timed to coincide with chipmakers' current transition to larger-diameter wafer processing and is said to offer a new breed of stepper to accommodate the intricate packaging requirements of highly specialized bump applications. It builds on Ultratech Stepper's 1X lithography system with a broadband lens that allows for automatic selection of exposure spectrum (i-line, gh-line or ghi-line). The tool reportedly has a low numerical aperture lens design. Ultratech Stepper Inc., San Jose, Calif.

Integrated Fabless Solutions
Integrated Fabless Solutions is a set of integrated services delivered across the silicon cycle, including test strategy consulting; silicon validation and characterization; silicon debug and repair; integrated test solutions; remote collaboration; deployment to high-volume manufacturing; and education program planning and consulting. These services, combined with Schlumberger's Technology Centers, are said to enable fabless customers to reduce engineering cycle time and move devices to high-volume manufacturing more quickly. Schlumberger Advanced Business Engineering Resources (SABER), a unit of Schlumberger Limited, San Jose, Calif.

Click here to enlarge image

Proximity Mask Aligner
The Reel-to-Reel Proximity Mask Aligner is for volume production of tape for tape carrier packages (TCP) and flex-based CSPs, like the µBGA. The tool, called the MA100RR, can reportedly also be used for products requiring very fine patterns on flexible materials, such as flex-based displays. It is said to offer high resolution of better than 5 µm that is achieved by distortion-free proximity printing, even on wide foils. Karl Suss, Munich, Germany.

Laser Tray Marking System
The U-1481 system is a new laser tray marking system designed to mark semiconductor components. Designed for hand loading with a left-to-right feed, the system is said to offer a suitable solution for applications with small lots and frequent changeovers. It uses Markem's 3000 Series direct laser to mark semiconductor units in a JEDEC tray and features the ability to be loaded and unloaded during the marking cycle for greater throughput. Markem Corp., Keene, N.H.

Thermal Interface Compound
The TIC-7500 Thermal Interface Com pound is designed to meet the demand for a next- generation interface material that provides the low thermal resistance mandatory for increasingly faster and hotter microprocessors. Real-time comparative tests reportedly show that this product will deliver less thermal resistance than silicone grease on 950 MHz and 1 GHz chips, with a thermal conductivity of 7.5 W/m-K. With this compound, computer makers can use the fastest chips without the penalty of adding evaporators, bigger fans or channeled air flow for extra cooling. The Bergquist Co., Minneapolis, Minn.

Click here to enlarge image

Surface Mount Inductor
The high-performance DR339-X Series inductors are designed for DC-DC converter and switching power supply applications. With an inductance range from 0.47 to 100 µH and a rated current from 19.0 to 0.47 A, this product is said to be suitable for use in computers, tele communications, medical devices, instrumentation and more. The DCR value is 0.0097 to 1.1090 Ω. The compact series reportedly features a low-profile, flat-top, circular design available in tape-and-reel packaging that is suitable for automated pick-and-place manufacturing. Datatronics Romoland, Romoland, Calif.

Click here to enlarge image

Bus Frame Grabber
The PCVisionplus is a new half-slot PCI bus frame grabber designed for high-speed inspection applications. Said to be able to digitize monochrome analog video at ultra-high frequencies of up to 53 MHz, it features 4 MB of on-board memory and hardware ” scatter gather” that supports fully automated image transfers from image memory. The product is based on an “all-in-one” design that combines a high-performance frame grabber, support for a range of standard and nonstandard cameras and OPTO-22- compatible digital I/O to control external events. Imaging Technology Inc., Bedford, Mass.

AP

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.

New Products

Click here to enlarge image

Load port
The OEM300I meets the BOLTS/Light standard (SEMI E15.1), which was developed for 300mm fabs that require full fab automation. The unit has a modular design that is light and compact with a small form factor.
AJS Automation
Ipswich, MA
(978) 356-7303

Click here to enlarge image

ESD apparel
The ISO-TEC ESD dual-path to ground system provides redundancy in dissipating random static electricity. The garments have conductive cuffs, dual conductive waists and conductive epoxy snaps as well as conductive seams to assure that spurious static charges will migrate to one of the provided grounding paths on either the right or the left of the garment. According to the manufacturer, the garments have been repeatedly washed with standard laundry surfactants and have shown no measurable loss of effectiveness in more than 200 processings.
Hi-Tec Garments
Chatsworth, CA
(800) 444-2263

Click here to enlarge image

Portable gas detector
Designed for use in cylinder receiving areas, temporary monitoring in labs and pilot plants, general survey work and emergency leak detection, the Model TG-STA portable gas detector is available for ammonia, arsine, chlorine, fluorine, HCl, HF, NF3, phosphine, SF6, freons, hydrocarbons and other haz-ardous gases. An electrochemical sensor is selected to react only to a particular gas or family of gases. Gas concentration is displayed on a local back-lit digital display and may also be output as both 4-20 mA and RS-485 signals. Other features include dual-level gas concentration alarms, instrument fault alarm and local audio and visual alarm indicators.
PureAire Monitoring Systems Inc.
Rolling Meadows, IL
(847) 788-8000

Click here to enlarge image

Gas detection system
A microprocessor-controlled “smart” monitoring system for the continuous detection and measurement of hazardous gases, the STX-HT immediately alerts operating personnel to potentially lethal gas concentrations as well as conditions that compromise monitoring safety. The system comprises an STX transmitter/controller linked to a model HT gas sensor. Gas concentration information is displayed on a local digital readout and output as a 4-20 mA analog signal. Concentrations that exceed user-programmed action levels activate local alarm LEDs as well as dedicated concentration alarm relays.
PureAire Monitoring Systems Inc.
Rolling Meadows, IL
(847) 788-8000

Pressure sensor
The Signet 2450 pressure sensor offers an operating range of 0 to 10 psig. It is intended for liquid level applications, including monitoring of tank levels for UPW storage, chemical batching and effluent storage. Built-in temperature compensation provides +/-1 percent accuracy of full scale over a wide temperature range without drift. The sensor is available with either 1/2-inch union or 3/4-inch NPT threaded connections. Product design features an all-PVDF body and ceramic diaphragm. Three pressure ranges are offered: 0-10 psi for static pressures and level determination, 0-50 psi for moderate pressures or line regulation and 0 to 250 psi for high-pressure systems.
George Fischer Inc.
Tustin, CA
(714) 731-8800

Click here to enlarge image

Vertical carousel
The Clean Room Vertical Carousel is used for high-density, cost-effective cleanroom storage for ISO Class 3 to 7 (Class 1 to 10,000) environments in the semiconductor, medical device and pharmaceutical manufacturing industries. According to the manufacturer, the system of rotating shelves delivers items quickly and directly to the user, providing safe and easy inventory access. The product can have multiple automatic doors for pass-through operation and the ability to link multiple work areas with different levels of cleanliness using one safe system. For semiconductor manufacturing, the carousel and load port provides 300mm-wafer storage and retrieval of both FOSB and FOUP carriers.
Remstar International Inc.
Westbrook, ME
(207) 854-1861

Click here to enlarge image

Polymer storage system
The Lifestor MasterTrak overhead track polymer storage system combines high-density storage with sanitary, corrosion-free shelving conditions. The roller assembly eliminates binding, even on severely uneven floors. The overhead track is available in lengths ranging from 6 feet to 21 feet. Polymer shelf sections offer either clear epoxy zinc-plated mild steel or 16-gauge type 304 stainless steel posts. Adjustable and removable intermediate shelf sections are available in either solid or louvered styles. Their high-strength polymer composition enables them to be dishwasher sanitized.
Eagle MHC
Clayton, DE
(302) 653-3000

Conveying system
The Vac-Blo conveys materials into a tank where little or no headroom is available. It uses vacuum to pick up material and positive pressure to deliver it to the discharge point. Rates up to 4000 lbs/hr are achievable depending on the characteristics of the material being conveyed. The convey systems are available in carbon steel and 316 polished stainless steel for food and pharmaceutical use. According to the manufacturer, the sanitary version disassembles in minutes without the use of tools.
Vac-U-Max
Belleville, NJ
(973) 759-4600

Click here to enlarge image

Angle seat valves
Type 2000 modular angle seat valve system is available in two basic versions: a standalone on/off unit with a single- or double-acting piston actuator or as a complete, continuous process control valve with Type 8630/31 TOP control head unit. Both combine high capacity and high flow rates with long life and low maintenance costs, according to the manufacturer. Applications can be found in water treatment, food and beverage, pharmaceutical, chemical, semiconductor, textile and industrial process industries. The systems can also be configured into equipment and machines, including industrial sterilizers, industrial washers, packaging machinery and machine tool cooling equipment.
Burkert USA
Irvine, CA
(949) 223-3100

Click here to enlarge image

IR gas monitor
For monitoring toxic gases used in semiconductor manufacturing, the InfraTox monitor uses photoacoustic infrared sensing technology and features measurement ranges of 0-100 ppm or 0-1000 ppm, depending on the gas monitored. The unit provides stable detection of solvents and gases such as HCFCs, HFCs and PFCs. The instrument eliminates cross-sensitivity to water vapor and has zero drift to prevent false readings. Monitors are available in one-, four- or eight-sample point models and provide three alarm levels.
Zellweger Analytics Inc.
Lincolnshire, IL
(847) 955-8200

Click here to enlarge image

Tube fitting
The Swagelok tube fitting features a patented back-ferrule design engineered to “hinge” during installation. According to the manufacturer, this design efficiently absorbs and redirects installation torque, helping to ensure more predictable gas-tight sealing and remakes. The product replaces, though is compatible with, the original Swagelok tube fitting and uses the same 1 1/4-turn installation requirements and installation gages.
Swagelok Company
Solon, OH
(440) 349-5934

Click here to enlarge image

Biological safety cabinet
Forma Class II, Type A/B3 biological safety cabinets are offered in 4- and 6-foot benchtop models and provide a 21.5-inch viewing area. A Minihelic pressure gauge, two service valves and two GFIC-protected duplex outlets are standard. The 4-inch-wide intake grille eliminates the need for high-velocity momentum curtains. Vortex channels create high-velocity air in the window corners to increase protection. The blower continues to operate when the sliding window is closed. Return air slots, located above the work surface on each side wall, provide increased sample cross-contamination protection. According to the manufacturer, an all-metal, positive pressure plenum (enclosed within negative pressure air) with a hook and loop connection to the exhaust damper provides quick and easy filter changes.
Forma Scientific Inc.
Marietta, OH
(740) 373-4763

Click here to enlarge image

Particle monitor
The FiberVac system measures particles inside the process environment by combining fiber-optic technology with an inter-cavity laser. Real-time detection provides instant feedback, reduces scrap and improves yield, according to the manufacturer. High sensitivity is achieved using an optical cavity that stores more than 500 watts of light energy. The high power provides strong signals from particles as small as 0.08 micron. The fiber-optic design has passive components such as lenses, mirrors and mechanical mounts inside the process chamber. Particle sampling from the system is transmitted to the interface module where the signal is converted and sent to a personal computer for displaying the data.
Particle Measuring Systems Inc.
Boulder, CO
(303) 443-7100

Hand gel
Klergel-70 sterile alcohol hand gel is cleanroom manufactured, double bagged and irradiated. It is supplied in 500ml containers that are each fitted with a pump dispenser. A wall-mounted palm dispenser and a wall-mounted elbow dispenser are available. The solution contains 70 percent v/v denatured ethanol and evaporates quickly from the skin leaving no sticky residue. According to the manufacturer, alcohol hand gels have proven efficacy against gram +ve and gram -ve bacteria and fungi.
Shield Medicare
Surrey, UK
+44 (0)1252 717616

Click here to enlarge image

Solenoid valve
Servo-assisted modular valves are intended for low-cost fluid collecton and distribution systems. Available for either 1/2-inch or 3/4-inch pipe sizes, the valves comprise a modular extendable valve system Type 6227 that can be combined in a number of ways, with up to 12 valves in a single valve manifold. Operating at pressures up to 105 psi, the valves are activated with 12 VDC, 24 VDC, 10 VAC and 220 VAC. All have a power-indicating LED. They handle neutral and aggressive fluids and can be used in HVAC, hydraulic circuits and chemical plants.
Burkert Contromatic Corp.
Irvine, CA
(949) 223-3100

Click here to enlarge image

pH controller
The Type 8205 directly links with a range of process valves specifically designed for the control of acids and caustic fluids to create high-accuracy pH control systems. Applications include food and beverage, pharmaceutical, electroplating and swimming pool engineering. The unit features a 4-20 mA output signal of process pH value, two pulse outputs and one alarm output. The output signals control valves or pumps directly by means of standard output impulses that vary in frequency and duration in accordance with the user's parameters and the desired pH value set point for the process.
Burkert USA
Irvine, CA
(949) 223-3100

Evaporator
The Thermo Oxidizer Series 2 dry chamber flash wastewater evaporation system eliminates the need to haul wastewater and the problems of corrosion and slurry removal. The system evaporates wastewater leaving a dry ash in a coated ceramic chamber. It utilizes any BTU of the wastewater for fuel savings and can use used oil as fuel.
RGF Environmental
West Palm Beach, FL
(561) 848-1826

Click here to enlarge image

Fluorothermoplastic
The flame-retardant properties of Dyneon THV 500 fluorothermoplastic make it appropriate for FM 4910 applications where it is highly effective for use in semiconductor equipment doors, windows and other fab building design areas. The optically clear material can be manufactured in a variety of thicknesses while maintaining its clarity and without taking on a yellow tint. According to the manufacturer, it features excellent chemical resistance and can be used at temperatures up to 125 degrees Celsius.
Dyneon LLC
Oakdale, MN
(651) 737-6700

HDPE drum
The FluoroPure Advantage trilayer HDPE drum offers purity in transporting microelectronic-grade chemicals to advanced semiconductor fabs. The drum is blowmolded in three separate layers. Assembled in an ISO Class 6 (Class 1000) cleanroom, the blowmolded design enables the use of different material characteristics for each layer of the finished product. According to the manufacturer, this design provides safety and purity with excellent particle and trace metal performance.
Entegris Inc.
Chaska, MN
(612) 448-8193

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.