News

Stacked BGA package for wireless communications introduced

null

Click here to enlarge image

SINGAPORE – In response to a demand for enhanced capability in chip scale packages, ST Assembly Test Services, an independent semiconductor testing and advanced packaging service provider, has introduced a new integrated circuit (IC) package for the wired and wireless communications markets. Referred to as “Stacked Die Ball Grid Array” (SDBGA), it is distinguished by its stacking feature, combining various ICs in one package. The total package height is typically 1.4 mm; popular sizes are 8 x 8 mm to 14 x 14 mm, with 80 to 140 pin counts.

The package is said to reduce the mounting area and chip weight as much as 70 percent over conventional packages. It has the characteristics of near chip scale packages. Good applications for the new packages include handphones, MP3 and MD players, and hand-held devices. They can combine flash/SRAM, flash/logic and ASIC/memory ICs.


EPA ruling lowers threshold on reporting lead

null

NORTHBROOK, ILL. – The IPC Association environmental health and safety committee has announced that the U.S. Environmental Protection Agency's (EPA) finalization of a lead reporting rule could impact U.S. PWB and EMS companies. The final rule changes the Toxics Release Inventory (TRI) reporting threshold for lead and lead compounds from 25,000 pounds per year to 100 pounds per year.

The new rule is retroactive to January 1, 2001, requiring companies to begin tracking and reporting all lead and lead components used during the 2001 calendar year. The full text of the final TRI rule is available at www.epa.gov/tri.


Stock repurchase plan slated for National Semiconductor

null

SANTA CLARA, CALIF. – National Semiconductor Corp. has announced a second program of open market repurchases to up to 8 million shares of common stock. This is in addition to a current authorization announced last September to purchase a similar 8 million shares. Timing of the purchase and the exact number of shares will depend on market conditions.


New book available on intellectual property

null

NEW YORK – The American Society of Mechanical Engineers (ASME) has published Intellectual Property: A Guide for Engineers. Published by ASME Press in collaboration with the American Bar Association Section of Intellectual Property Law, the book is designed to provide engineers in all disciplines with a basic understanding of intellectual property and its various forms. The book includes information on patents, copyrights, trades, federal registrations of trade names and Internet domain names. It also includes a chapter about intentional protections.

The book is $10 for ASME members, $13 for non-members. Bulk rates are available. To order, visit www.asme.org or call 800-843-2763.


Call for Papers

null

NORTHBROOK, ILL. – The IPC has announced a call for papers for APEX 2002, which will be held January 20-24, 2002, at the San Diego Convention Center. Papers are being sought on design, materials, assembly, processes and equipment; a complete list of topics is available at www.GoAPEX.org. Abstracts are due May 31. For more information on submitting an abstract, please contact Martin Barton, APEX conference director, at 972-424-8805; E-mail: [email protected].


USI Manufacturing Services opens U.S. facility

null

MORGAN HILL, CALIF. – USI Manufacturing Services Inc. has recently opened an electronic manufacturing services center in Morgan Hill, California, 15 miles outside of San Jose. The $10 million, 32,000-square-foot facility uses state-of-the-art equipment to design, manufacture, assemble and test prototype systems of communication, computer and consumer related products in a low-volume, high-mix environment. USI Manufacturing works closely with its customers' engineering and design teams to verify the prototype's manufacturability before it reaches production volumes. Customers have access to the services of USI Manufacturing's global partners, USI Inc. (an EMS provider) and ASE Group (a semiconductor packaging, assembly and test house). USI and ASE have manufacturing facilities in Taiwan, China, Hong Kong, Korea, Malaysia, Mexico, The Philippines and Scotland.


Maxim acquires Dallas Semiconductor

null

SUNNYVALE, CALIF. – Maxim Integrated Products Inc. has announced an agreement to acquire Dallas Semiconductor for approximately $2.5 million in stock. The acquisition is expected to be completed during the second quarter of 2001 and is subject to approval by Dallas Semiconductor's stockholders and compliance with applicable regulatory requirements. The transaction is intended to be accounted for as a pooling of interests and to qualify as a tax-free reorganization.

“Dallas Semiconductor has many product lines that are complementary to Maxim's, and we look forward to giving more visibility to Dallas Semiconductor's excellent digital and mixed-signal circuits in both the domestic and the international marketplaces,” said Jack Gifford, chairman, president and CEO of Maxim.


FormFactor opens new Korean office

null

LIVERMORE, CALIF. – FormFactor Inc., a leading provider of integrated wafer-level semiconductor test and packaging solutions, has opened a new office in Kunggi-Do, Korea. S.M. Kim, vice-general manager of FormFactor Korea, will manage the new office.

In addition to its probe card business, FormFactor's new office will help support technology partnerships and technology licensing arrangements with Korean chipmakers.

“Besides the obviously increased local technical and sales support, having this office helps us strengthen our relationships with Korean manufacturers as we move forward with several advanced new integrated back-end test and packaging solutions that can save customers up to 25 percent in back-end costs, as well as improve device performance,” said Kim.

FormFactor has developed the industry's first integrated process for wafer-level packaging and whole-wafer test. This technology has been licensed to leading DRAM manufacturers, including Infineon AG, and FormFactor is currently working with major packaging companies, including Japan's Shinko Denki.


Agilent acquires MV Technology

null

PALO ALTO, CALIF. ' Agilent Technologies Inc. has announced that its subsidiary, Agilent Technologies Ireland Ltd., will acquire MV Technology Ltd., a privately held provider of automated optical inspection (AOI) systems and solutions for the electronics manufacturing market. The acquisition of MVT (with more than 140 employees) includes products, patents, intellectual property and regional offices in Chicago, Singapore, Tokyo and Guadalajara, Mexico. Additionally, the two companies plan to establish a worldwide center for AOI in Dublin, called the Irish Imaging Operation. It will have global business responsibility for all of Agilent's AOI development, manufacturing, marketing and sales.

The transaction is expected to close in late March, after receipt of regulatory approvals and other closing conditions have been met. Upon completion of the acquisition, MVT will become an operation within the Imaging Division of Agilent's Manufacturing Test Business Unit, part of Agilent's Automated Test Group.

In related news, Agilent has announced plans to work with Siemens Electronics Assembly Systems (EAS) to jointly develop and implement integrated test and process control technologies for assembly lines.

The two companies will work to implement Agilent's Intelligent Test solutions within SIPLACE Global Solutions turnkey assembly lines. This will reportedly establish closed-loop control over the entire assembly process by relying on Agilent test and inspection systems to monitor manufacturing quality and provide feedback to other process points in the SIPLACE line.

In a separate agreement, Agilent and Siemens have agreed that Siemens will sell Agilent test equipment on a non-exclusive basis.


NEMI seeks participation in data exchange project

null

HERNDON, VA. – The National Electronics Manufacturing Initiative (NEMI) has issued an industry-wide call of participation for a new project aimed at accelerating the convergence of competing approaches for CAD data exchange formats used by the electronics industry. Through this project, industry participants will leverage the strengths of existing standards and solutions to recommend a common course of action for future standards work

The project's objective is to lower the industry-wide cost of design exchange through the consolidation of existing CAD/CAM exchange formats and stands to promote the development of software solutions built on a single, consensus-based exchange format. The intention is to develop a technical recommendation for a CAD/CAM data exchange format that can be widely adopted in a timely manner. This single format will combine the strengths of GenCAM, ODB++ and other related specifications.

More than 30 industry leaders discussed these objectives and the structure of the project at the APEX 2001 conference in January. More than 20 companies and organizations, including 10 OEM and EMS companies, participated in discussions.

“We want industry involvement in this important initiative,” said Jim McElroy, executive director and CEO of NEMI. “Broad participation from industry, especially from the user community, will help ensure that the project meets its goals.”


WEB WATCH

EMEXCESS.COM
The electronics manufacturing services council of the IPC Association (Northbrook, Ill.) has launched www.EMexcess.com, a Web site designed for electronics manufacturers. The site allows users to post a file of obsolete/excess inventory and search by manufacturer part number. There is no fee to use the site, and all visitors can search the inventory to see what parts are available. However, only IPC members may post inventory and access the contact information needed to execute a purchase.

CAMTECHINC.COM
A new Web site, www.camtechinc.com, presents Camtech Automation's (Elgin, Ill.) capabilities for automated assembly, inspection, testing and imprinting of manufactured products. The site includes the company's system-development process, its engineering and design software alternatives, and a case

history portfolio. The site also provides customers planning on visiting the company with a map and driving directions as well as a

directory of area hotels and restaurants.

ECTINFO.COM
Through corporate Internet portals, Everett Charles Technologies (ECT, Pomona, Calif.) and its TTI Testron Division allow test engineers to request design quotations and follow the progress of user-specified automated-test equipment fixtures on-line. The ECT Web site, www.ectinfo.com, allows for quotes for fixture procurements; the TTI site, www.ttitestron.com, offers additional fixture types. The tracking system provides customers with real-time job-by-job information, as well as access to a master database of past projects. To access either system, the user must apply for a user ID.

BITECHNOLOGIES.COM
BI Technologies (Fullerton, Calif.) has expanded its Web site to include a new inventory locator feature, “netComponents.” The system allows customers to search product inventories throughout the company's network of authorized distributors.


Movers and shakers

PEOPLE

Agilent Technolgies Inc. (Palo Alto, Calif.) has appointed Marcy Montgomery as general manager of the company's newly created Loveland Imaging Operation in its manufacturing test business unit.

Masayuki Ohi has joined APack Technologies Inc. (Hsinchu, Taiwan) as vice president for R&D and customer service.

Carol Latham, president and CEO of Thermagon Inc. (Cleveland), was named Cleveland Business Woman of the Year by Inside Business magazine.

Morgan Advanced Ceramics (Fairfield, N.J.) has appointed Kevin McAloon to serve as application engineering manager for the company's North American division.


Guerrera
Click here to enlarge image

Frank Guerrera has been named customer service engineer for Ultrasonic Systems Inc. (Amesbury, Mass.).

Leonard Perham has been elected chairman of Portal Bay Inc. (Sunnyvale, Calif.), the parent company to semiconbay.com.

Mark Neal has been named director of customer service for RVSI Vanguard, a business unit of the Semiconductor Equipment Group of Robotic Vision Systems Inc. (Tucson, Ariz.).

Bliss Industries Inc. (Fremont, Calif.) has named Jim West as vice president of sales and marketing/chief sales marketing officer.

Daniel Sparks has been named vice president of new business development at BI Technologies (Fullerton, Calif.).

Solid State Equipment Corp. (Horsham, Pa.) has appointed Donald Campbell to the newly created position of director of customer satisfaction. The company is also opening new sales and service centers in New Castle, England; Newport Beach, Calif.; and Hsin Cho, Taiwan.

COMPANIES

Terrasem (O-Chang Industrial Park, Korea), a CMOS image sensor and tape carrier packaging and testing house, has built a new factory with first production slated to begin this month. The factory will include package design, assembly and test. DK Lee is the CEO of the operation.

Cryopak Industries Inc. and Cryopak Corp. have moved to a new manufacturing facility. The new contact information is 1053 Derwent Way, Annacis Island, Delta, British Columbia, V3M 5R4 Canada; 604-515-7977 or 800-667-2532; Fax: 604-515-7978.

OMM Inc. (San Diego), a supplier of photonic switching subsystems based on MEMS technology, recently received two awards for its technology innovations: the Laser Focus World 2001 Commercial Technology Achievement Award (in the category of fiberoptics/optoelectronics components) and the 2000 Photonics Circle of Excellence Award.

Smart Sonic Corp. (Van Nuys, Calif.) has earned a U.S. Presidential Green Chemistry Challenge Award nomination for its 440-R SMT Detergent, a non-hazardous aqueous detergent used in assembling printed circuit boards.

International Rectifier (El Segundo, Calif.) has received JANS certification by the Defense Supply Center Columbus for its wafer fabrication facility.

Insilco Corp. (Raleigh, N.C.) has changed its name to Insilco Technologies. The company serves telecommunications, computer networking, data processing, medical instrumentation and automotive markets with its manufacturing of cable assemblies and electromechanical subassemblies, transformers, high-speed modular connectors and precision stamping. The company's custom assembly segment (formerly ESCOD Industries) also has a new corporate name: ITG Global. This division has recently added a fiber optic manufacturing facility to its Larne, Northern Ireland plant.

Deloitte & Touche named Methode Electronics Inc. (Chicago) and its subsidiary, Stratos Lightwave Inc., to its list of “Technology Fast 50” in 2000 for the greater Chicagoland area. Methode Electronics manufactures components devices for OEMs and Stratos Lightwave develops and manufactures optical subsystems and components.

Router Solutions Inc. (Newport Beach, Calif.), a software provider of tools for the electronics industry, has opened a technical sales and support office in Guadalajara, Jalisco, Mexico. Arturo Gallaga will head the operation.


Correction

In the November/December 2000 issue, Figure 1 from the Back-end Process series “Singulation” on page 59 should read “Flow chart showing auto sequencing between the saw and pick-and-place handler.”


Briefly Speaking

Corning to expand calcium fluoride production
Corning Inc. is expanding its North Brookfield, Mass., operations to meet a growing demand for calcium fluoride optics. The facility currently manufactures calcium fluoride optics and components used by excimer laser manufacturers. The expansion will focus on the production of lithography-grade calcium fluoride optics.

New machining company
LFI Machining Inc. has established a new 15,000 square-foot manufacturing and sales facility to support various sectors of the ceramic and metal industries, including the semiconductor market. The new company hosted an open house in February. Its contact information is 1515 Harbor Blvd., West Sacramento, CA 95691; 916-372-8593; Fax: 916-372-8526; E-mail: [email protected].

Entegris to acquire NSE fluid handling
Entegris Inc. has recently signed a letter of intent with Nisso Engineering Co. Ltd. (Tokyo) to acquire the NSE fluid handling product line.

“The proposed acquisition of [Nisso's] fluid handling component product line fits well with Entegris' strategy to increase our presence and market share in Taiwan and Japan. It will provide the opportunity to fill out our product line and offer more materials integrity management solutions…” said Frank Sidell, president of Entegris' fluid handling group.

Under the terms of the proposed agreement, Entegris will purchase the complete interest of NSE's component product lines, including pumps, valves, fittings, distribution rights of level sensors and other related intellectual property rights.

Loctite acquires Power Devices
Loctite Corp., an affiliate of Henkel KGaA, has acquired Power Devices (Laguna Hills, Calif.), a supplier of phase-change thermal management technology for electronic and electromechanical applications. A privately held company, Power Devices will retain the same management team.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.