APC is evolving: Etch to CMP to litho

By Lise Laurin

WaferNews Correspondent

What can the semiconductor industry learn from the petrochemical folks?

A lot, when it comes to the evolution of advanced process control (APC). In the early days of APC in the petrochem industry, process and instrumentation technologies were considered totally unrelated issues by operating companies.

Process technology and instrumentation vendors didn’t talk to each other or to the operating companies.

The same was true in the semiconductor industry until just a few years ago. During an invited talk at the AEC/APC Symposium in Banff, Alberta, Canada, John Ayala of AspenTech described the history of APC in the petrochem industry.

In the 1960s, only the largest, top-tier petrochem companies worked on process control, and much of the effort was internal, explained Ayala. In the semiconductor industry, AMD, IBM, Infineon, Motorola, and Texas Instruments championed the majority of APC work until as recently as just this year.

In the 1970s, vendors of control hardware began to play more of a role in petrochem APC, and pioneering companies began to create standards to reduce the manpower required to implement each control loop. The emergence of reliable in situ metrology enabled the rapid feedback required for optimum control.

The talks at this year’s AEC/APC conference reflect a similar stage in our industry’s development.

Intel has joined in the effort, even though it has been a self-admitted slow adapter due to the constraints imposed by its copy exact and virtual factory concepts. Intel’s manual process control, while effective, can’t keep up with the volume of data required to maintain control over today’s processes.

“The engineers can’t look at the charts quickly enough,” explained Robert Reuel of Intel. To implement APC rapidly, Intel looked to an outside vendor for APC in its 200mm lithography. The result of this project, limited to overlay control, resulted in Cpk increases of over 15%. While this success will be a model for 200mm fabs, Reuel indicated Intel may develop APC internally for its 300mm fabs.

The need for standards plagues this industry, just as it did the petrochemical industry. According to TI, implementing standards could reduce APC integration time from seven months down to two weeks. But standards alone can’t solve all the integration problems. The current sensor bus standard allows the use of any one of seven buses – requiring sensor manufacturers to support all seven. And, as one of the six panelists at a discussion pointed out, even getting two PCs running Windows NT to talk to each other is another difficulty – one that won’t be solved by SEMI standards.

Ayala indicated that a lack of standardized control systems slowed down the efforts in the early adoption of APC in the petrochem industry. In those days, computing power was extremely limited and mathematical modeling was also in its infancy. Nonetheless, the less sophisticated heuristic models achieved significant improvements.

Yet if we compare the results from these simpler models with complex multivariate controls available today, such as neural networks, simple heuristic models provided only half the benefit. In data presented by TSMC for a lithography process, simple models could not handle the number of different products run through the factory. Using complex multivariate control, however, TSMC achieved a 30% increase in Cpk, a rework reduction of 48%, wafer-out increases of 0.43%, and the operating workload reduced by approximately 75%. UC Berkeley also found that neural networks were more suitable for analysis than simpler linear regression analysis.

The lag time between processing a wafer and receiving the metrology data back at the controller was a major topic at this year’s conference. In another invited paper, Motorola offered four different alternatives to traditional external metrology that would standardize the lag time, preventing oscillations in run-to-run control. Several control vendors discussed methods that their controllers use to minimize the effect of long or inconsistent lag time and over half of the IMA meeting (immediately following the conference) was dedicated to this topic.

The focus of APC seems to evolve yearly. Two and three years ago, talks at this conference focused on etch processing. That focus evolved to CMP over the last two years, and this year lithography arose as the latest process in need of advanced control. In addition to overlay, speakers demonstrated control on exposure and focus, achieving improvements in both CD and sidewall angle control. Intel and Motorola both indicated that APC in lithography enabled them to move away from stepper dedication, easing the constraints of mix-and-match lithography.

In spite of the industry slowdown and travel restrictions imposed as a result of the events of Sept. 11, more than 200 attended the conference. Most papers stressed information that could be used by others to shorten implementation time. Yet, in spite of the significant process and throughput benefits reported for nearly every area of the fab, most IC manufacturers admitted they wouldn’t be buying APC equipment any time soon. It seems funding for productivity improvements is difficult to find when fabs are not at full capacity.

If Ayala’s parallels hold true, however, the next spurt of fab construction will fund major advances in APC.

(WWW.WaferNews.com)

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.