IMEC strengthens support for 45nm research

October 12, 2004 – European research consortium IMEC, Leuven, Belgium, has added a roster of equipment makers to its sub-45nm CMOS research platform.

Aixtron, Applied Materials, ASMI, ASML, Dainippon Screen, FEI, KLA-Tencor, Lam Research, TEL, and SEZ will provide beta tools for research in programs targeting 193nm immersion and EUV lithography; cleaning and contamination control; high-mobility layers and advanced source/drain engineering, high-k dielectrics and metal gates, emerging devices such as FinFET and FDSOI, germanium-based devices, and advanced interconnect solutions such as ultralow-k materials and wafer-level packaging. IMEC launched the sub-45nm program in May with seven chipmakers: Infineon, Intel, Matsushita, Philips, Samsung Electronics, STMicroelectronics, and Texas Instruments.

“These joint development agreements allow tool suppliers to closely interact with leading semiconductor manufacturers, enabling them to adopt to the most promising process steps and device concepts in an early stage and build up the critical knowledge to support these processes,” said Luc Van den hove, IMEC VP of silicon process and device technology.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.