NEW PRODUCTS

QFN Package

Click here to enlarge image

SiP technology placement of two or more die in a single package makes this stacked-die QFN-MCM package ideal for portable consumer devices. A 0.9-mm package height with a 7-mil minimal die thickness (2-die pyramid stacking one die side-by-side) saves board space, increases package efficiency in the Z-direction, and provides a short electrical path for high reliability. An exposed leadframe pad provides a direct path for removing heat from the package. The QFN Package is green-compliant and qualified to MSL 260C. Advanced Interconnect Technologies, Sunnyvale, CA, www.aithome.com.

Polishing Template

Click here to enlarge image

This polishing template employs a “shim spinning” feature that assures maximum adjustment flexibility of the wafer protrusion, controls wafer thickness variations, and allows the wafer to spin freely in the pocket or cavity. The spinning dynamic results in an even wafer surface; significantly improving TTV, bow, and warp. Designed for silicon, germanium, gallium arsenide, quartz, sapphire, silicon carbide, and other substrates, the template is able to withstand high temperatures, pressures, and high polishing speeds, and provides effective slurry flow/distribution for all CMP processes. ZeroMicron Inc., San Jose, CA, www.zeromicron.com.

Silicone Gap Filler

Click here to enlarge image

ThermaCool TC 3000 gap filler provides thermal conductivity in demanding applications such as power supplies and power electronics. Designed for UL 94 V-O flame rating, it employs flame-retardant packages with no halogen compounds. It adheres to a wide range of materials, yet is easily repositionable, and can be supplied with either a film or a special coating to eliminate any tack on one surface. Available in two different durometers to give soft or very soft compliance, it is produced in thicknesses from 20 to 250 mils. Saint-Gobain Performance Plastics, Worcester, MA, www.thermacool.saint-gobain.com.

MEMS Chamber

The Environmental MEMS Chamber allows full control of environmental conditions while performing in-plane and out-of-plane stroboscopic interferometric microscopy. It conducts electrical and mechanical testing for environmental parameters such as temperature (-25° to 65°C), varying gases, or vacuum to 10-6 mbars. Analysis options include real-time video of measurement, real-time vector analysis, and average displacement over the entire area or defined areas of study. The chamber is available as an option on the Photomap 3D or Zoomsurf 3D with an optional dynamic characterization module for in-plane and out-of-plane real-time vibration mode studies from 100 Hz to 2 MHz. Micro Photonics Inc., Allentown, PA, www.microphotonics.com.

Wafer Prober

Click here to enlarge image

The Pureline wafer prober line provides the tools for low-level, on-wafer measurement capability in device characterization and modeling, wafer-level reliability, and yield enhancement. Integrated features include a MicroChamber shielded wafer enclosure for reduced EMI and RFI interference; an AttoGuard active guard for reduced stray capacitance and noise reduction; low-noise triaxial thermal chucks for suppressed noise injection; and low-impedance grounds for improved bypass of broadband transmissions. Designed to offer measurement immunity from electrical background transmissions, Pureline allows low-level measurements on sensitive semiconductor devices. Cascade Microtech Inc., Beaverton, OR, www.cascademicrotech.com.

CSP Socket

The 775 series surface mount, 0.5-mm-pitch CSP sockets accommodate higher-pin-count and larger-substrate CSPs. Z-axis buckling-beam contacts manufactured using a metal stamping process eliminate issues associated with small solder balls and tweezer-type contacts. Offered in a small footprint, they incorporate Retention Arm Technology, and can be used for both eutectic and lead-free solder balls. Features include low actuation force, low contact resistance, open-top construction for auto-loading applications, package-handling capability up to 16 x 16 mm, and a 30 x 30 grid matrix. WELLS-CTI, Phoenix, AZ, www.wellscti.com.

Metrology Tool

Click here to enlarge image

The Mentor OC23 tool measures material characterization properties to determine whether device manufacture process steps are operating correctly, shaping process changes after deposition, wet or dry etch, or CMP processing. With its non-destructive measurement on production wafers, the small footprint can directly assess if a process has been carried out successfully from Statistical Process Control (SPC) methodology. Nanotechnology weight measurement can be used on product, test, and blanket wafers independent of substrate size or material. Fully automatic cassette-to-cassette operation uses industry-standard 200- and 300-mm open cassettes to allow wafer measurements. Control of ambient conditions within the measurement enclosure provides <0.04-mg weighing capability. Metryx Ltd., Bristol, UK, www.metryx.net.

No-clean Solder Paste

Click here to enlarge image

Halide-free, no-clean Multicore MP218 solder paste interacts with lead-free device finishes, and is comprised of similar raw materials, activators, and other components as those used in next-generation lead-free solder pastes. It avoids humidity-related problems of premature drying and excessive moisture absorption, enabling consistent, global performance. An anti-tombstoning formulation extends the liquidus time of solders during reflow, increasing the wetting window for each end of the component, and reducing possible tombstoning defects to enable effective joint formation. Henkel Electronics Group, Irvine, CA, www.electronics.henkel.com.

Polishing Pad

The VisionPad VP3100 polishing pad provides planarization capability and low defectivity during volume production of copper (Cu) wafers; enhancing throughput and reducing overall cost of ownership at the 65-nm node. A polyurethane formulation provides a soft surface to reduce defects while maintaining necessary rigidity. The advanced pad technology minimizes scratches and chatter marks across the wafer; improving die yields. The pad also can be conditioned to regenerate the surface and achieve optimal polishing results. It also can backfill into current 90- and 130-nm processes. Rohm & Haas Electronic Materials, Philadelphia, PA, electronicmaterials.rohmhaas.com.

Cluster Platform

Click here to enlarge image

The CPX cluster platform enables multiple process chambers to share a common wafer transport chamber, supplying up to four STS plasma processing modules and reducing overall cost by reducing fab footprint and operator and equipment costs. Features include a Brooks Marathon Express MX600 automation platform for wafer transport, an advanced PLC control system, twin vacuum cassettes for 25 wafer cassette-to-cassette operation, and integrated wafer alignment. Surface Technology Systems plc, Newport, Wales, UK, www.stssystems.com.

3-D Metrology Systems

Click here to enlarge image

Falcon 800 advanced measurement and metrology systems inspect bumped wafers to ensure bumps meet tight dimensional tolerances. Proprietary CTS triangulation height measurement technology enables broader angular coverage to create a stronger, more stable reflection from many bump shapes and materials, and supports real 3-D bump geometry reconstruction. Selected high-grade-certified mechanical structures and assemblies provide stability for micron-level measurement at high speeds. Falcon 800 also can be equipped with the Confocal Chromatic Sensor (CCS), a submicron height sensor that verifies critical height dimension or samples gold-bump surface roughness or profile. Built-in NIST-certified calibration targets are available for ensuring measurement accuracy. A comprehensive suite of SPC charts and reports supports bump defect and dimension analysis by die wafer and lot levels. Camtek Ltd., Migdal Ha’Emek, Israel, www.camtek.co.il.

Flip Chip Design Software

Click here to enlarge image

JupiterIO, a part of the Galaxy design platform, targets flip chip design flows. Rapid delivery of chips with high bandwidth, speed, and I/O count is driving the increase in flip chip units. JupiterIO builds upon JupiterXT floorplanning to extend concurrent optimization within the Galaxy design platform for packaging impacts on finished device performance, cost, and time-to-tapeout. JupiterIO supports a package-influenced methodology that uses system and package constraints as a start-point to chip-level floorplanning, and simultaneously accesses both chip and package databases, facilitating real-time tradeoff and evaluation of key components of the die and package interface. This feature eliminates the delay and iterations associated with traditional, non-concurrent flip chip flows that rely on static post-floorplanning data for I/O and package design. Synopsys Inc., Mountain View, CA, www.synopsys.com.

SMT Ceramic Packages

Click here to enlarge image

These leadless ceramic SMT packages for direct PCB mount are up to .75 in2 in size, and incorporate wraparound interconnections, plugged via holes, hermetic-filled vias, and integrated passives for high circuit- and power-density applications. The packages also incorporate Plated Copper on Thick Film (PCTF) technology, which comprises ductile plated copper over thick film on a ceramic structure to help minimize solder-joint stresses during assembly. Plugged hermetic via holes with >1-mΩ resistance provide for low RF losses below .1 dB at 4 GHz for ground and signal connections. The vias’ <200 W/M x °C thermal conductivity results in a low thermal resistance path for optimal thermal management. The packages are compatible with all standard soldering, high-temperature die attach, welding, and wire bonding techniques. Remtec Inc., Norwood, MA, www.remtec.com.

Substrate Printing System

The Digital Web Press (DWP) is a high-speed system for printing digital data on flexible substrates, enabling variable length runs of any given image and supporting changing images on-the-fly. This narrow web ink-jet system is meant for applications requiring short or variable production runs of non-repetitive patterns or precise metering of conductive inks. It uses various specialized, flexible substrates for color and monochromatic label printing and electrical applications, such as RFID tags. The system minimizes web weave and speed variations to maximize image quality while running at speeds up to 150 ft/min, and features 8 to 24 print heads and print widths from 2 to 6 in. Optional ultraviolet (UV), infrared (IR), or hot-air ink curing systems are available. Controllable by one operator via a customizable color touch-screen application interface, the DWP eliminates high setup costs and long lead times. imaging Technology international (iTi) Corp., Boulder, CO, imagingtechnology-corp.com.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.

NEW PRODUCTS

Compiled by Angela Godwin

Each month, Cleanrooms brings you a collection of the latest product innovations in the contamination-control industry.

Low-emission, releasable flooring

Click here to enlarge image

Freudenberg Building Systems, Inc., manufacturer of nora® rubber flooring, is pleased to introduce norament® 925 grano FS, a rubber floor covering that uses a low-emission, releasable adhesive to facilitate installation, removal and reinstallation of the flooring. This floor covering is particularly suitable for installation on raised access floors, including offices, computing centers and laboratories, where individual tiles can be removed and installed again following modification work or cable-laying jobs. Areas with limited periods of use, such as show and promotion rooms, and offices where design flexibility is important to accommodate moves and reorganization of space also lend themselves to the installation of norament 925 grano FS. Bonding with nora’s 412 releasable adhesive makes the repeated removal and installation of flooring tiles possible. The floor covering is available in four standard colors that feature an exciting nondirectional confetti pattern. Each of these colors can be combined with other norament products to create a coordinated design throughout a facility. The hammered surface of the single-layer flooring provides excellent wear resistance in heavy traffic areas and areas where rolling traffic is common. It also features slip resistance in compliance with ADA guidelines and excellent footfall sound absorption for quiet comfort underfoot. Like all nora products, norament 925 grano FS is PVC-free. The product is made of high-quality rubber, mineral fillers and environmentally compatible color pigments. It also features the exclusive “nora cleanguard®” manufacturing process, which eliminates the need for waxing or sealing and contributes to healthier indoor air and easy, inexpensive cleaning with lower maintenance costs.
Freudenberg Building Systems, Inc.
Lawrence, Mass.
www.norarubber.com

Aerated-tip swab

Click here to enlarge image

Puritan Medical Products Company LLC introduces a new Puritan aerated-tip type of swab. According to the company, it’s designed to make sampling collection easy, accurate and contamination-free. The new Puritan Forensic Swab protects the cotton tip and sample by using a clear-plastic, aerated cap. With the cap mounted on the swab, it’s easy to snap open and shut for cell collection. Because the certified-sterile swab is centered in the middle of this air-drying cap, it can be laid flat and transported without tip contamination, leaving both box and evidence envelope transport options open.
Puritan Medical Products Company LLC
Guilford, Maine
www.hwppuritan.com

Charged plate monitor

Click here to enlarge image

TREK, Inc. announces the introduction of its enhanced Model 157 Charged Plate Monitor. Software enhancements to this charged plate monitor now enable the storage and retrieval of data, and provide an additional data acquisition mode. These enhancements respond to market needs for more rigorous data management in semiconductor, disk drive, LCD and other manufacturing environments sensitive to electrostatic discharge (ESD). Model 157 is targeted for use in ionizer monitoring and testing and in other applications where static charge must be controlled by frequent and accurate measurements in order to assure worker safety, productivity and product integrity within an ESD-sensitive process. This charged plate monitor can also be used in materials testing applications, where the dissipative qualities of a particular material or product need to be evaluated and/or confirmed by monitoring the discharge rate through the material. Specific applications for TREK’s Model 157 include the testing of room ionization systems, AC and DC blowers, nuclear ionizers, gun-type ionizers, and pulsed DC ionizers, as found in open-air and cleanroom facilities. The new storage and retrieval capability of Model 157 enables test data to be saved and recalled, including actual data points, graphical waveforms of the data, and operator comments about the test conditions or other parameters that need to be captured for future reference or validation. The other new feature in Model 157 is the inclusion of a “fast” mode for data acquisition (1 ms/data point), in addition to the traditional “normal” mode (10 ms/data point). Its patented precision charge measurement design utilizes an ultrahigh-impedance, high-voltage follower to monitor the ion collecting plate voltage. This provides very high accuracy readings with extremely low offset and drift. Other features of the Model 157 Charged Plate Monitor include a user-friendly menu system, clear and easy-to-read digital display, powerful graphics display, manual or barcode entry/reading capability, on-board data storage capability (that allows hundreds of test results to be stored), ability to generate custom test sequences and test reports, USB port for PC interface (allows test sequences and test results to be uploaded/downloaded as needed), optional thermohygrometer to record temp/humidity conditions, and small, lightweight, static-dissipative enclosure/packaging, which means that Model 157 is not only easily transported within a facility, but also meets the needs of cleanroom and ESD environments.
TREK, Inc.
Medina, N.Y.
www.trekinc.com

Ultraviolet irradiators

Click here to enlarge image

Two new products from UltraViolet Devices, Inc. (UVDI) make it easier for more facilities worldwide to integrate ultraviolet technology into their maintenance, energy savings and IAQ programs. The proven V-Mod™ Ultraviolet Coil Irradiator and the V-Ray™ SUS are now available in 100 Vac/50 and 60 Hz, and 90-277 Vac/50 and 60 Hz, respectively. The UV-C fixtures conform with, and have been approved for, the PSE Mark as required by Japanese Denan Law. UVDI’s UVC fixtures are known for their effective and efficient irradiation of contaminants, including harmful molds and bacteria, in HVAC systems.
UltraViolet Devices, Inc.
Valencia, Calif.
www.uvdi.com

Pressure transmitter

Click here to enlarge image

The new Model 364 pressure transmitter from Viatran comes standard with Hastelloy wetted parts. The flush Hastelloy diaphragm eliminates bacterial growth, and allows for better Clean in Place (CIP) process. The Model 364 also boasts less than a minute recovery time after a CIP/SIP Process. Other features include: all stainless-steel construction; shunt calibration signal for field calibration; measurements to 30 inches WC full scale; and top-mounted zero and span adjust. The Model 364 is suitable for dairy, food processing, pharmaceutical, sanitary tank level, and biotechnology applications. The Model 364 is an enhancement to the Viatran Clean Freaks family of Sanitary Instrumentation. It’s a high-accuracy, temperature-compensated product that offers 3X proof pressure.
Viatran Corporation
Grand Island, N.Y.
www.viatran.com

Wafer shipping system

Click here to enlarge image

Entegris introduces the SmartStack™, a finished-wafer shipping system that is designed to eliminate wafer breakage and is fully automation-compatible, enabling customers to increase productivity. Many finished-wafer packaging systems used to protect, transport and ship delicate wafers are obsolete because of advancements in wafer fab and chip assembly technologies. For example, decreasing line widths and die bond pad size demand low ionic, low outgassing and reduced particles. Thin wafers needed for stacked IC and smart card applications make handling and transporting delicate substrates even more complex and sensitive. The Entegris SmartStack is a robust shipper that delivers a solution to address both of these problems to help keep yield rates high, and increase productivity in both fab and assembly systems. The SmartStack Horizontal Wafer Shipper provides the necessary protection against ionic, outgassing and mechanical elements to maintain wafer integrity during transport, storage or shipping. Among its key features, the SmartStack accommodates 1 to 25 wafers; is made from low ionic/outgassing STAT-PRO® 100M materials; and is ESD safe. An ergonomic, easy-to-use, open/close design mitigates factory environmental, health and safety (EHS) issues.
Entegris, Inc.
Chaska, Minn.
www.entegris.com

Monitoring systems

Click here to enlarge image

The LMS Express family of small monitoring systems is designed to download and display data from Lighthouse SOLAIR, HANDHELD, and REMOTE Particle Counters as well as from the Lighthouse Universal Manifold Controller. LMS Express allows users to manually download data from Lighthouse instruments, while LMS Express RT and RT Plus users can collect data from Lighthouse instruments in real time and download it manually. LMS Express allows users to analyze data through static graphs, data tables, and reports. LMS Express RT and RT Plus can also display a rolling-graph data table. All LMS Express products support a rolling event log. With the LMS Express systems, users can generate built-in standard reports to view statistics based on the class level of the room being monitored. Standard reports that can be displayed, saved, and printed include: Fed Std 209E, ISO 14644-1, EU GMP, and BS-5295.
Lighthouse Worldwide Solutions
San Jose, Calif.
www.golighthouse.com

Field charge sensor/controller

Click here to enlarge image

Novx Corporation, a leading supplier of ESC/ESD-related monitoring instruments, and cleanroom and ESD protective-area monitoring and control solutions, has introduced an affordable field charge sensor and controller that will provide the flexibility to precisely monitor and/or control an ionizer with a programmable monitor and has application for stand-alone field charge detection for real-time monitoring and alarm. The Series 3150 is a microprocessor-based, digital, single-channel, closed-loop ionizer controller that is ideal for applications requiring closed-loop monitoring and control of the single-fan ionizer controllers, providing balance control to +/- 0.2 volt. This product has all the performance features of the Novx Series 3300 but on a digital platform with microprocessor control, address identification and programmable alarm level set points. As a system approach, the Series 3150 can help reduce process variations, decrease scrap/rework and improve process yields at the specific workstation level, with the end result being increased factory capacity.
Novx Corporation
San Jose, Calif.
www.novxcorp.com

Sanitary fittings

Click here to enlarge image

Immediately available from the AdvantaPure division of NewAge® Industries are sanitary fittings that meet both 3-A and ASME-BPE specifications. Manufactured to mate with the company’s line of sanitary tubing and hose, the fittings now offer an added degree of safety and level of excellence for critical process applications, such as those in the food, dairy, beverage, pharmaceutical, cosmetic, biomedical, and chemical industries. AdvantaPure’s line of fittings also offers: 316L stainless-steel material; smooth, radiused edges; a wetted surface finish of 15 Ra or better; documented lot traceability with identification markings on each fitting; and documented quality control. Specific fitting styles consist of sanitary male and female I-line and bevel seats; Tri-Clamp®, mini Tri-Clamp, and Tri-Clamp elbows; male and female cam and groove; tube weld adapters; and pipe weld adapters. Sizes range from 1/4 inch through 4 inches, and all fittings are crimp-applied using mating hose collars. Custom designs, laser etching for hose assembly identification, imprinting, sizes, and packaging are available. Quickly produced hose assemblies are a specialty.
AdvantaPure, a division of NewAge Industries
Southampton, Pa.
www.advantapure.com

Compressed gas adapter

Biotest has announced the introduction of the RCS Compressed Gas (CG) Adapter for detecting the microbial content of compressed gases in any area where specific microbial counts must not be exceeded. Used in conjunction with the validated RCS Plus, RCS High Flow or RCS Isolator Microbial Air Samplers, the CG Adapter provides a simple yet effective measurement of high and low concentrations of microbes in isolators and laminar-flow environments, as well as in sterile and aseptic production lines. The RCS CG Adapter is part of the HYCON System, a complete line of products used for environmental monitoring, which includes air samplers, particle counters and Biotest Contact Slides for surface sampling.
Biotest Diagnostics Corporation
Denville, N.J.
www.BiotestUSA.com

Wall-mounted gas monitors

Click here to enlarge image

The Guardian is a newly expanded family of wall-mounted, continuous gas monitors available for carbon monoxide, hydrogen sulfide, oxygen, chlorine, sulfur dioxide, hydrogen cyanide, hydrogen chloride, nitrogen dioxide, nitric oxide, hydrogen, and many others. Standard features include digital display, NEMA 4X enclosures, output relays, and multilevel audio and visual alarms. The Guardian controller is available with up to four remote sensors, which are fast responding and unaffected by temperature and humidity changes. A system test button, local danger alarm indicator, and fault alarm ensure proper operations. The unit is easy to install, operate, and maintain.
CEA Instruments
Emerson, N.J.
www.ceainstr.com

Dry-ice cleaning system

Click here to enlarge image

Cold Jet LLC, a provider of environmentally responsible dry-ice cleaning technology, is introducing its new i3 technology platform with the launch of the i3MicroClean, a revolutionary new precision cleaning system that projects dry ice particles shaved from blocks to thoroughly clean small, deep and/or complex cavities, molds and crevices that other methods cannot reach. It also cleans small, delicate surfaces faster than traditional methods and without abrasion or disassembly. The i3MicroClean capitalizes on Cold Jet’s patented shaved-ice and feeder technologies to deliver a complete cleaning outcome with an unmatched low cost of ownership. The i3MicroClean’s low air and ice consumption provides for cost-effective and almost noise-free operation. It is ergonomic and intuitive, easy to operate, fast, effective and proven reliable. It also utilizes a readily available blast medium: block dry ice. The use of recycled CO2 either reduces or completely eliminates the use of hazardous chemicals. It also eliminates secondary waste streams because the dry ice particles disappear on impact.
Cold Jet LLC
Loveland, Ohio
www.coldjet.com

Air velocity and temperature meter

Click here to enlarge image

E Instruments offers the new Mini Air HW Pro VT50, a portable, compact, economical, and highly accurate (+ 2 percent of rdg) Hot Wire Thermo-Anemometer (resolution = 1 fpm, 0.1°F). The Mini Air HW Pro is designed for simplicity and one-hand operation. This air velocity and temperature meter is equipped with unique features such as high stability and fast response in order to measure with high accuracy. Other features include: low cost; hand-held, rugged and easy-to-use design; display of two parameters simultaneously; ideal for low-flow air velocity (in ducts); hold function; minimum and maximum values; Pt100 temperature inside the probe; and magnetic, protective rubber boot on request.
E Instruments Group, LLC
Langhorne, Pa.
www.einstrumentsgroup.com

Vertical storage cabinets

Click here to enlarge image

New enclosed vertical storage cabinets from Eagle MHC are specially designed for critical-manufacturing, assembly and storage areas where products and components need to be securely stored in a dust- and dirt-free environment. Eagle’s NSF-approved vertical storage cabinets feature a chemically resistant, easy-to-clean, stainless-steel exterior. The cabinet body is made of 18-gauge stainless steel, with interior stainless-steel shelves adjustable on 4-inch vertical increments. Double-pan, 20-gauge, stainless-steel-hinged, key-locking doors provide long-life durability, along with secure, dust-free protection of all cabinet contents. Enclosed vertical storage shelves are available with a flat top, or an alternative sloped top providing laminar flow to meet stricter cleanroom requirements. In addition to stationary cabinet models, Eagle offers a mobile version featuring 5-inch plate casters and brakes, so that the shelving unit can be moved easily from one location to another without removing the merchandise during transport. Eagle MHC’s enclosed vertical storage cabinets are 72 inches high for the flat-top model and 78.5 inches for the sloped-top model. Both are offered in 36- and 48-inch lengths. Custom sizes are also available upon request.
Eagle MHC
Clayton, Del.
www.eaglegrp.com

Hazardous dust collector

Click here to enlarge image

The new Gold Series® Camtain™ dust collector from Farr Air Pollution Control (APC) provides safe-change containment of hazardous dusts in pharmaceutical manufacturing environments. It is the first in the dust-collection industry to use a bag-in/bag-out (BIBO) access door and dust discharge system that have been fully surrogate-tested to ensure optimum protection of employees and indoor environments. Applications for the Camtain collector include pill presses, tablet coating, fluid bed dryers, spray dryers, general room ventilation, or wherever containment of hazardous dust is required in pharmaceutical plants. The Gold Series Camtain collector combines state-of-the-art cartridge dust collection with BIBO technology. Design features include rugged construction, vertically mounted filters that eliminate uneven dust loading, and a high-entry cross-flow inlet that reduces re-entrainment of fine dust particles. The HemiPleat™ filter cartridges use patent-pending, open-pleat media, which results in greatly extended service life and lower pressure drop compared to standard cartridges. This open-pleat design and the cartridge’s patented inner cone cause dust to release readily for more efficient pulse cleaning. Rated filter efficiency is 99.999 percent on 0.5-micron particles and larger. Filters are sealed via camlock action, making it easy to work through the bag. Explosion vents and burst detector alarms are available for applications involving explosive dusts.
Farr Air Polution Control
Jonesboro, Ark.
www.farrapc.com

Control-valve sizing and selection tool

Click here to enlarge image

Flowserve Corp., a global provider of fluid motion and control products and services, announces the launch of the 9.3.0 release of Performance!™, the company’s unique and powerful control-valve sizing and selection software for Windows®. Peformance! employs industry-standard ISA sizing equations, among other measures, to specify Flowserve control valves and similar competing valve products. Among the new features in version 9.3.0 are additions to the program’s product and options database, as well as improvements to the software engine to increase its speed and ease-of-use. Also enhanced in this version is the program’s valve noise calculations function, which calculates noise based on the latest IEC standard. Offering detailed valve specification information, the program is organized using an intuitive tab system that allows users to quickly and easily add and alter the many options required for a valve application. Performance! is built on a Sybase® database engine that allows valve records to be isolated by user and project, and the software is network-enabled for collaborative team design. Performance! can also export data to a CSV file or to files that can be read by most ERP systems. Performance! outputs ISA-style specification sheets that include all the data needed to clearly specify and size a control valve. Flow curves, detailed fluid information, valve drawings, and a variety of charts and graphs are among the other printed outputs available from the program.
Flowserve Corporation
Dallas, Texas
www.flowserve.com

Exhaust control valve

Click here to enlarge image

The Exhaust Control Valve (ECV) from General Air Corporation is designed for applications that require the exhaust of a large volume of contaminated air in a controlled manner for applications, such as fume hoods, and chemical processes where controlled exhaust and pressure are required. The system is fabricated of plastic materials and is designed for applications in the semiconductor industry and laboratories in general. Sizes range from 6-inch to 16-inch diameter, and flows range from 100 to 4000 CFM. This product also features CPVC duct construction, dual stainless-steel 304 sensors, and an electronic control system (1/8 Din. with PID algorithm).
General Air Corporation
Chatsworth, Calif.
www.generalair.net

Stainless-steel wafer cassettes

Click here to enlarge image

H-Square introduces a new all-stainless-steel wafer cassette as an alternative when plastic or quartz cassettes are inappropriate or cost prohibitive. H-Square’s stainless-steel cassettes mirror the profile (including h-bar location and size) of SEMI standard 25-wafer plastic cassettes, allowing use on most vertical and horizontal transfer machines and other automated systems. The open sidewall design allows flow-through for uniform substrate temperature processing and minimizes hot/cold spots due to heat-sinking. The lightweight design and available ergonomic handles reduce the potential for operator fatigue. Weld-constructed (no screws or fasteners), electropolished 316 stainless steel maximizes durability and cleanliness while minimizing maintenance. Cassettes are available for all standard wafer sizes. Custom sizes are also available upon request.
H-Square Corporation
Sunnyvale, Calif.
www.h-square.com

Portable airborne particle counters

Click here to enlarge image

Hach Ultra announces the introduction of the Met One 3400 Series Portable Airborne Particle Counters for faster sampling, ease of use and reduced technician time. Available in two flow rates (50 L/min. or 28.3 L/min.) and a sensitivity range of 0.3 to 25 microns, the 3400 offers QA/QC and technical managers increased flexibility when verifying critical processes and environments, including cleanrooms. The 3400 Series also contains Long Life Laser™ technology for low-cost, long-term ownership, extending the instrument’s average laser life to more than 10 years. Lightweight and compact, the 3400 features strategically placed handles for easy lifting: two on the sides and one on the front. The 3400 easily relocates to various sampling sites for data collection throughout a facility. Data transfers quickly from USB ports onto a PC or flash drive, or the user can print results immediately from the built-in printer. The counter also interfaces with PortAll Version 2 for remote scheduling and data download. For life-science environments, the 3400’s stainless-steel construction and unobstructed surface permit quick and frequent wipe-downs. With PortAll Version 2 Life Sciences Version, the 3400 provides the necessary regulatory documentation for 21CFR Part 11 compliance, minimizing downtime and lost product batches.
Hach Ultra Analytics
Grants Pass, Oreg.
www.hachultra.com

Color sealant for tile floors

Click here to enlarge image

Inside Edge Commercial Interior Services™ announces the addition of Grout Armor™ color-sealant technology to its flooring maintenance service offering. The Grout Armor color-sealant process will take a stained, dirty and hard-to-maintain tile floor and make it look new again. For a fraction of the cost of replacing a tile floor, this overnight process restores or changes the color of the grout lines without the use of harmful acids. The grout lines become impervious, ensuring an easily maintainable and sanitary floor. As the national sales organization for Grout Armor, Inside Edge Commercial Interior Services can restore the grout in most commercial tile applications. The system is available nationwide and helps eliminate the hassles and expense associated with renovating a tile floor.
Inside Edge Commercial Interior Services
Minneapolis, Minn.
www.insideedgecis.com

Liquid particle counter

Click here to enlarge image

Designed with quality in mind, the REMOTE LPC 0.2 liquid particle counter was created for continuous twenty-four-hour, seven-days-a-week operation. Following a quality standard of reliability and dependability, Lighthouse developed the REMOTE LPC 0.2 using the latest in optical particle-counter technology. With a sensitivity of 0.2 micron and a sample flow rate of 100 mL/min., the REMOTE LPC 0.2 provides real-time, continuous data collection at a cost-effective price. The REMOTE LPC 0.2 integrates seamlessly with large facility monitoring/management systems and transfers four channels of simultaneous particle-count data using RS-485 Modbus. Other features include: stainless-steel enclosure; status indicators; external alarm output; and its small size, making it easy to install when space is an issue.
Lighthouse Worldwide Solutions
San Jose, Calif.
www.golighthouse.com

Particle sensors

Click here to enlarge image

RNet particle sensors, now available from Particle Measuring Systems in a wide range of sensitivities and flow rates, offer a significant breakthrough in cost effectiveness for real-time monitoring of multiple cleanroom locations. The company has developed a proprietary, rugged, single-plate design that reduces system complexity, removes heat-generating components, and increases use of heat-dissipating materials. A lower, more stable chamber temperature results in longer laser life, significantly reducing both capital and maintenance costs. RNet’s smooth, durable exterior makes it easy to clean, and its status indicators make it easy to use. The RNet interfaces with Facility Net or Pharmaceutical Net software for comprehensive alarms, data management and trend analysis of cleanroom conditions.
Particle Measuring Systems
Boulder, Colo.
www.pmeasuring.com

Contaminant-free packaging

Click here to enlarge image

Milpast packaging is a contaminant-free, lightweight alternative to solid/molded plastic, metal, wood, corrugated paper and chipboard. Milplast will not support mold growth, will not emit sulfur or dust particles and can be repeatedly cleaned and sterilized. This packaging is also available in high-density polyethylene (HDPE) for low-temperature applications.
Mills Industries
Laconia, N.H.
www.millsind.com

Body voltage and ground monitor

Click here to enlarge image

The Novx M200 is a low-cost, digital body voltage/ground workstation monitor that provides real-time detection and alarm. The monitor features dual-channel/dual-wire body voltage monitoring with proprietary detection and alarm. The monitor is “programmable” with manual push-button alarm threshold set and “zeroing.” This instrument provides a low-cost solution with a high level of sophistication, with individual red/green LEDs for channel status. Each channel also provides different audible alarm tones per channel to disseminate alarm type. Additional features include: small size, low cost, and maximum flexibility.
Novx Corporation
San Jose, Calif.
www.novxcorp.com

Needs-assessment service

Industrial vacuum cleaner manufacturer Nilfisk-Advance America announces the launch of its new, free, on-site needs-assessment service. Enabling companies to avoid expensive purchases that fail to answer their specific needs, the free assessment delivers a comprehensive evaluation of existing cleaning processes, identifies outstanding and unmet needs, and recommends improvements as warranted. Utilizing the technical experience and industry knowledge of its company representatives, Nilfisk-Advance America’s new service delivers a no-obligation evaluation of current plant and facility cleaning methodologies, while simultaneously bringing company staff up to speed on the latest trends and innovations in industrial vacuums.
Nilfisk-Advance America
Malvern, Pa.
www.pa.nilfisk-advance.com

Package analyzer

Click here to enlarge image

With PBI-Dansensor monitoring equipment, manufacturers and packagers of low-headspace containers, including pharmaceutical vials, parenterals, transdermals, and medical devices, are able to obtain accurate, reproducible readings of package oxygen from the lowest volume sample-requiring as little as 2 cc or 2 ml of air for analysis. Unlike gas chromatographs that require 30 to 40 hours of operation for a test and are dependent upon the skill level of individual operators, PBI-Dansensor analyzers provide fast, operator-independent readings. In addition, PBI-Dansensor analyzers have been precision-engineered to operate without regular calibrations.
PBI-Dansensor America, Inc.
Glen Rock, N.J.
www.pbi-dansensor.com

Fan filter unit

Precision Air Products has introduced the model HEP-FP fan filter unit, an affordable means of providing filtered air into a clean space using on-board fans to push air through a HEPA filter. These quiet fan filter units can be used in any room requiring clean air, such as pharmacies, laboratories, and clean manufacturing and workspaces. The low profile of the HEPA-Vent FP means it fits more easily into tight interstitial spaces common above the ceilings of cleanrooms. When integrated with a Lami-Air ceiling framing system, owners benefit from a ceiling that looks and functions as a single system, from a single manufacturer.
Precision Air Products
Hopkins, Minn.
www.precisionairproducts.com

Environmental condition monitor

Click here to enlarge image

Rohrback Cosasco Systems is pleased to introduce the Model ECM™ Environmental Condition Monitor for rapid detection of corrosive conditions, including humidity, temperature, and differential pressure. The ECM is designed to provide constant surveillance of the atmospheric environment to rapidly detect-via two replaceable thin-film sensors-conditions that could result in damage to expensive equipment, permitting corrective action to be taken before substantial damage occurs. The corrosion rate is shown by indicator lights that correspond to the ISA classification of environments (G1 through GX) for copper and silver. Analog and/or digital outputs provide remote alarming, display and recording. The information may also be stored in the on-board datalogger, and accessed via PC software. The system can also be used as a high-sensitivity corrosion monitor for special applications. The ECM is particularly useful for environmental monitoring applications in cleanrooms, control rooms, laboratories and more.
Rohrback Cosasco Systems, Inc.
Santa Fe Springs, Calif.
www.rohrbackcosasco.com

Pharmaceutical doors

Click here to enlarge image

Rytec introduces its new line of tight-sealing, high-performance pharmaceutical doors designed to meet GMP guidelines. The Pharma-Seal® high-speed roll door is for cleanroom applications or wherever an affordable stainless-steel package is desired. Pharma-Seal provides effective environmental control and all the benefits of a high-speed door, while providing a crisp, stainless-steel look. Removable stainless-steel side-column covers allow for easy and thorough cleaning. The Pharma-Seal is for non-wash-down applications, or where hygienic needs are less stringent. The door opens at 42 inches per second-increasing productivity while providing a fast-closing, tight barrier. The door is extremely durable and designed for heavy traffic. Like all Rytec doors, Pharma-Seal features the Break-Away® bottom bar that allows the door to withstand the impact of a forklift and to be quickly reset without tools. Anodized aluminum ribs provide resistance to negative pressure while allowing easy panel replacement-including the standard 31-inch-high, full-width vision window.
Rytec Corporation
Jackson, Wisc.
www.rytecdoors.com

All-in-one meter

Click here to enlarge image

Shortridge Instruments, Inc. announces the new ADM-880C AirData Multimeter for measuring air velocity, temperature, pressure, and airflow. The all-in-one ADM-880C is a hand-held, battery-operated meter intended for use in qualifying new construction, remodeling, and maintaining existing air systems. Key TAB (test, adjust, balance) applications include commercial and industrial buildings, schools, hospitals, restaurants, and cleanrooms. This instrument stores 2000 readings in twenty-five user-selectable groups and calculates the average, sum, minimum, and maximum of the readings in each group. The time and date of each reading is recorded, and the barometric pressure and temperature along with each velocity and flow reading are stored. The ADM-880C AirData Multimeter contains a powerful datalogging feature, and provides a serial communications port for automatic download of the data in memory to a computer spreadsheet for analysis and recording. The ADM-880C works well with industry standard probes, such as static pressure probes and pitot tubes, when these are appropriate. Also available are proprietary probes and accessories that address specific applications.
Shortridge Instruments, Inc.
Scottsdale, Ariz.
www.shortridge.com

Air filter/plenum combo

Click here to enlarge image

These aluminum plenums from Universal Air Filter direct the air and concentrate it on the exact components that need maximum cooling inside the enclosure. Design engineers can create the optimum design to maximize airflow and lower air resistance to extend the life and dependability of vital electronic and/or electrical components. The plenums can be designed and manufactured to almost any shape, size or other design requirement. Decorative finishes, such as epoxy powder coating, can be specified to match enclosure colors, and chromate or irridite finishes are available for corrosion protection. Used in many UAF Air Filter/Plenum Combinations is the environmentally engineered line of Quadrafoam and Quadrafoam II filter media, which offer flame, fungus and corrosion resistance. This combination will meet the stringent flame safety requirements of UL 94 with a zero fungus-growth rating. Quadrafoam and Quadrafoam II are rated UL 94 HF-1, cleanable and reusable, and are available in black, gray or green. Both feature deep loading, increased dust-holding capacity and low air resistance. Quadrafoam II is ideal for prolonged exposure to high-temperature, high-moisture environments, and other harmful elements. Universal Air Filter products meet the following standards and classifications: UL 94 HF-1; UL 900 Class 2; CE and UL 60950.
Universal Air Filter Co.
Sauget, Ill.
www.uaf.com


NEW LITERATURE


Millex filter wall chart

Click here to enlarge image

Millipore has announced the availability of its Millex filter wall chart to help scientists identify the optimal syringe filters for their specific applications. This poster features technical information on the company’s sterile and nonsterile sample preparation syringe filters. Syringe-tip filter units can be used in a variety of research and pharmaceutical and industrial quality control applications. These range from sterile filtration of tissue culture media and additives to the removal of particulates prior to chromatography to automated applications. Millex filters are available with a variety of membranes. Sterile membranes, including Millipore Express® PES, Durapore® PVDF, Millipore MF mixed cellulose esters and glass fiber, can be used for tissue culture, buffers, and biological and medical applications. Additionally, the Fluoropore™ PTFE membrane is used in sterile filtering of gases and nonaqueous liquids, venting sterile containers, and in-line vacuum pump protection. Nonsterile Express PLUS, Durapore, nylon, ion chromatography and Fluoropore membranes often are utilized for general particle removal in pharmaceutical and industrial QC as well as environmental applications. Several Millex products are automation-compatible for dissolution testing and automated sample preparation. High-particulate and general filtration Millex syringe filters also are profiled.
Millipore Corporation
Billerica, Mass.
www.millipore.com

Temperature/humidity mapping guide

Industrial processors seeking to minimize spoilage of inventory and products can now access a free-of-charge Temperature and Temperature/Humidity Mapping Guide from Dickson Company. This best-practices guide to warehouse and production facility temperature and humidity mapping can help protect inventory quality, thereby potentially saving a significant amount of money annually. Dickson’s Guide provides step-by-step instructions for creating and maintaining effective facility mapping programs, including practical advice on how to determine critical mapping points, appropriate sampling rates, datalogger selection criteria, and how to approach data analysis and remediation considerations, among other topics. The Guide is available at http://www.dicksonweb.com/article/article_26.php
Dickson Company
Addison, Ill.
www.dicksonweb.com

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.