Huge ALD market foreseen — but when?

by Bob Haavind, Editorial Director

Potential uses of atomic layer deposition (ALD) and how this will drive the future market were explored in a panel at SEMICON West sponsored by Aviza Technology, Scotts Valley, CA, a maker of ALD tools.

As features approach the dimensions of 2nm strands of DNA, ALD appears to have great promise for the very thin, conformal film layers that will be essential, according to moderator Robert Maire, principal analyst of Needham & Co., in his introduction. DRAM makers already use ALD extensively, especially for trench capacitors, pointed out Evgeni Gusev, director of materials and device R&D, Qualcomm, and displays have used the process for twenty years. But while R&D on gate stacks is intense, there are major problems with process and materials integration holding back ALD applications there.

The applications already in production are growing rapidly, according to Jean-Marc Girard of Air Liquide, but he believes gate stack applications are still two nodes away. Leo Archer of SEZ agreed that ALD for high-k dielectrics and metal gates won’t arrive before the 32nm node, but he added that lots of ALD is already being used in front-end processing, but not in high profile applications.

Aside from DRAMs, ALD is also being used for some magnetic memories, according to Dan Hutcheson, CEO of VLSI Research. Using Lego-style animated graphics, he neatly illustrated how in ALD, substrate bonds act as parking places for A-type atoms until all the spaces are full. Then, after evacuating the chamber and introducing B-type atoms, a second perfect conformal layer can be deposited, and so on. He pointed out that phonons can become a problem, causing some bonds to be covered. This has been solved for memories, but it does require careful surface cleaning.

The critical importance of surface preparation and cleaning was also stressed by Archer of SEZ, with hafnium-based compounds and materials such as ruthenium and other noble metals. Cleaning must control what stays on the front side and remove material from the back side and bevels. Wet cleans are required, but in combination with dry cleans for recesses. Process development requires partnering, Archer suggested, such as SEZ working with Air Liquide.

With new materials from all over the periodic table, collaboration is becoming essential, agreed Girard of Air Liquide. With lots of new metals in the fab, contamination is becoming a hazard. Chamber cleaning is another problem area, he suggested, citing the difficulty of removing aluminum oxides from aluminum chambers while maintaining high throughput.

Throughput has been a serious objection to ALD because of the need to completely evacuate the chamber between layers, but all of the vendors have taken steps to speed up tools, according to Jerry Cutini, CEO of Aviza. He said that his company now offers a batch system. Some users are running single-wafer systems right next to batch, he said, and in the future there will likely be dual-platform systems with one tool right on top of another.

As far as the future market, Cutini said that at one time copper plating was expected to be a massive market, but it hasn’t been that large. He expects that eventually the ALD market will be huge by comparison.

Gusev of Qualcomm agreed that, especially with new materials, ALD promises to allow chipmakers to put material just where they want it. He cited R&D on emerging technologies that would require aspect ratios of up to 60:1 where ALD might be the only way to get material to the bottom of a trench, because it avoids “key-holing” problems caused by excessive deposition on the top corners of deep recesses. He believes that the metal gate might be even more likely to use ALD than high-k dielectrics.

Hutchison suggested that ALD is just a more complex CVD process [Editor’s note: see The thin-film landscape for ALD processing, SST May 2006, p. 37], and while the current market is only about $300-$400 million, he expects it to grow to perhaps $1-$3 billion in the next 7-10 years. While much attention has been paid to high-k dielectrics, these are only one film category. The next big market instead might be in hard mask applications. Since ALD is all self-aligning, using it may help to extend optical lithography, according to Hutchison. It might be used with metal gates, he added, but these could be done by putting down a control film with ALD and then using CVD for thicker films on top.

Cutini of Aviza suggested that flash spacers might become a major application beyond DRAMs. He also feels that the ability to get extreme conformity over a variety of structures will be important — CVD will not work for 3D transistor structures, for example, he said.

Interconnects might also benefit from ALD, suggested Air Liquide’s Girard. A pore sealing layer might be deposited before putting in a barrier, he said, using a hybrid ALD-CVD approach.

While all agreed that problems remained to be solved before widespread use of ALD, they expect that as features continue to shrink, many more applications will emerge. — B.H.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.