ASML takes first EUV preproduction tool order

by James Montgomery, News Editor

October 17, 2006 – ASML Holding NV says it has received the first order from an unidentified customer for a pre-production EUV system, following shipments of two alpha tools earlier this year to IMEC and Albany Nanotech.

In a conference call to discuss EUV news and research progress from the 2006 International Symposium on Extreme Ultraviolet Lithography (Barcelona, Spain), ASML acknowledged that these “preproduction” tools won’t have the desired throughput for desired manufacturing, mainly because of work still to be done with source power. Noreen Harned, VP of marketing, technology and new business, ASML, noted that both alpha tools at IMEC and Albany are using a low-power xenon source, but the company plans to eventually integrate tin sources (supplied by Philips Extreme UV GmbH) to achieve the ~180W power (intermediate focus) required to boost throughput to >100 wafers/hour. “The only fuel that seems to have the right version efficiency is tin,” she said. “Early sources on xenon really shows there’s a limitation.”

Harned explained that the early EUV systems are vacuum-based but still share certain components with ASML’s TwinScan platform (e.g. the Athena sensor, as well as e-beam writers, repair tools, and some metrology), though some technology will be brand-new, e.g. reticle handling. The preproduction EUV tools will be able to accommodate either laser-produced plasma (LPP) technology or an electrical discharge-based power source. She indicated that more integration work at IMEC and Albany, with some early data on critical metrology, should be available by next February’s SPIE conference.

Martin van den Brink, ASML’s EVP of marketing and technology, noted that the pricepoint of the first EUV tools will be around 40 million euros (US $50 million), vs. ~35 million euros ($~43.8 million) for the company’s newest immersion systems (NA=1.35) announced in July of this year. Compared with previous tool prices at previous nodes, “that’s quite consistent, you won’t see an extraordinary price jump.” Once EUV is able to achieve 100WPH, it will actually be cost-competitive with immersion requirements when taking into account things like double-patterning and longer cycle times.

During the press conference Q&A, when asked about ASML’s planned production ramp for its new EUV systems, van den Brink expressed “surprise” that other suppliers are sticking to a roadmap to push out production-ready EUV tools by 2009. “We took a precaution in the productivity specification for 2009, but we remain bullish on that productivity,” he said.

ASML pointed to other announcements at the EUV symposium as evidence that much progress is being made to make EUV a viable lithography technology for the 32nm node and below. Industrywide achievements discussed at the event include: optical trains from Carl Zeiss SMT AG, with work continuing for mirror fabrication, coatings, mechatronics, and system metrology; advancements in power source, including LPP and tin. Rohm and Haas is touting a “breakthrough” in EUV photoresist with 40nm, 1:1 feature resolution, as well as 35nm line/space resolution, sub-40nm contact hole resolutions, and 25nm-resolution chemical amplification resist. Toppan Photomasks says it is readying commercial supplies of EUV masks. And European light source supplier XTREME technologies GmbH plans first shipments by December of its 10W-range integrated source/collectors, with a volume production-worthy 100W EUV source design ready by 2008. — J.M.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.