EUV lithography approaches reality at IMEC

by Ed Korczynski, Senior Technical Editor

One of the first two full-field extreme ultraviolet (EUV) lithography systems in the world is now being installed at IMEC in Leuven, Belgium (the other is at Albany Nanotech in New York). Journalists were invited to visit the class-1000 cleanroom full of 300mm tools to see the huge system that is expected to replace immersion lithography after another two or three nodes.

IMEC is actively pursuing all three possible lithography approaches as part of its ongoing 20 year strategic partnership with ASML: EUV, hyper-NA immersion, and double patterning with water immersion, which is gaining favor as the leading candidate for the 2010-2011 timeframe. Working with Synopsys and Mentor Graphics for EDA, IMEC has produced good results with double patterning on both regular memory structures as well as more complex logic patterns.

The EUV full-field beta tool arrived in August 2006 in multiple trucks, and is now in the middle of the multi-month assembly. A tour of the system in assembly showed ASML people crawling on and around the massive structure. A multi-ton hoist is required for assembling the huge system, though ultimately it is actually smaller than the Applied Materials Quantox implanter nearby in the cleanroom. The reticle handling subsystem mounts on the topside, and the EUV source (most likely to be based on laser excitation of a tin target) will mount on the backside. A TEL track system mounts to the front.

Engineers from ASML are also currently installing an XT:1700i (with NA=1.3) in the same cleanroom, using three teams working around the clock. IMEC is confident that water-based immersion litho will be the primary route for the 45nm node. Looking forward to 32nm half-pitch (corresponding to 32nm for memory and 22nm for logic), IMEC is experimenting with an “interference printer” to provide NA>1.4.

Maximum NA for water and silicate glass is 1.44. Changing to a higher index fluid while retaining n=1.50 silicate glass lens elements would allow NA up to 1.44 which doesn’t provide sufficient improvement to justify investment. Thus, both ASML and Nikon are reportedly pursuing new lens materials with n in the range of 1.8 to 2.1. Schott is reportedly growing ?150mm lutetium aluminum garnet crystals (“LuAG”, with n ~2.1), claiming issues with lens birefringence, aberration, and surface contamination should be manageable. Both DuPont and JSR are reportedly working on new high-index fluids, but target cost for just the fluid is $1 per wafer processed — and the stepper will likely be even more expensive, since the fluid will have to be re-circulated and purified and filtered.

Cost per exposed resist layer remains the ultimate bottom line, and the biggest cost challenge for EUV remains the energy delivered to the resist. EUV resists now seem to require much higher intensity than originally specified, and EUV sources have been under rapid development to try to meet the evolving specs. IMEC plans to install their ~100W EUV source sometime in early 2007, using laser excitation of tin to produce the 13.5nm radiation. ASML, which claims to have received its first “preproduction” EUV tool order for delivery in 2009, plans to eventually upgrade the tin sources (supplied by Philips Extreme UV GmbH) in both of its alpha EUV tools to achieve the ~180W power (intermediate focus) required for production-level throughput of >100 wafers/hour — but the company says those levels won’t be reached until as late as 2011.

ASML’s cost modeling data indicate that EUV should be the least expensive lithography option for the 22nm half-pitch node — which will also be the finale for optical lithography, unless a higher-index fluid and final lens element can be deployed in combination with double-patterning, according to IMEC’s research. In a panel discussion on October 19th, Hugo De Man, IMEC Senior Fellow, provided his personal opinion on the matter: “If the cost is not reduced then I predict the end of scaling, and that may happen well before 22nm.” — E.K.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.