Decontaminating the process

In the world of wafer fabs, battling chemical contaminants is everybody’s business

By Sarah Fister Gale

Whether it’s a maker of tools, filters, spaces, air quality monitors, or manufacturer of the wafers themselves, each member of the industry has a role to play in keeping the production environment clean. When one of them drops the ball, everyone suffers.

A contamination incident could be caused by any one of myriad possibilities: Maybe the filter failed, or was past its prime; perhaps a new tool or piece of equipment introduced unexpected contaminants into the process; maybe the air quality wasn’t optimal to begin with, or the air quality sensors didn’t deliver the information fast enough or in enough detail to react in real time.

Ideally, all of these elements would work together, with filters and monitors built into tools so that contamination events would be minimized. However, in most cases, that is still a futuristic vision. In today’s cleanroom, most factions of the industry still work in isolation, building their tools, filters, equipment and wafers without collaboration, coming together only after the fact in reaction to contamination problems.

So when a contamination incident occurs and yield suffers, who is to blame? The answer to this question often depends on who you ask.

Identifying a culprit

As the semiconductor industry shifts towards 193-nanometer lithography, molecular contamination monitoring and elimination are becoming requirements. The International Technology Roadmap for Semiconductors (ITRS) has recently added control of acids-in the ambient cleanroom, lithography processing, and for exposed aluminum and copper wafers and reticles-to provide greater control over the problem.

“There are so many chemicals in the tools and the room, but which ones are ‘bad’ depends on what your problem is,” says Texas Instruments engineer John Degenova, PhD. Sulfur dioxide, ozone, and organics from outside air, as well as acids, bases, dopants, and organics from sources inside the fab, all have to be considered in a successful AMC control program.

Chemical contaminants can come from anywhere in the fab, and can be completely benign under controlled circumstances, but have a toxic effect if they are let loose, even in minute quantities. Outgassing from construction materials in the cleanroom, wafer processing equipment, and wafer enclosures, as well as fugitive emissions from chemicals used in wafer processing, are currently considered to be the main sources of AMC.

Besides the damage caused by the acidic nature of AMC on exposed product wafers, high energy lasers increase reactivity with ammonia (NH3), forming ammonium sulfate crystals on optics and reticles. Over time, as this contamination grows, it becomes more opaque and increases transmission loss.

In some advanced lithography steps, even very small quantities of hydrocarbons can be detrimental because of increased adherence to the exposed surfaces and the potential for photochemical degradation to leave nonvolatile residues on lenses, masks, and mirrors. For the same reason, other potential impurities, such as siloxanes or organophosphates, can also be harmful, even in extremely small quantities.

“Silicon-containing organics are also a problem because they are so highly photoreactive, especially at 193-nanometer wavelengths,” says Dave Ruede, director of product marketing for Entegris’s gas microcontamination business (San Diego, CA).

Currently, the war between chemical contaminants in the cleanroom is being fought around lithography tools and reticles as they are the most delicate and have the greatest impact on yield. “Reticles and photolithography in particular are pretty big areas right now in contamination control,” Ruede says. “And as thin film gets thinner, we see the need for more chemical purification on process tools than ever before.”

Because the wafers are at greatest risk during these steps in the process, many fab operators are looking at ways to enclose these steps by creating minienvironments or small rooms around the tools in the cleanroom, but even that has problems and risks. For example, you could build a small room within the cleanroom for all photolithography steps-if your layout would accommodate that. But if the tools were all over the room, it would be impractical.

Alternatively, you could build a minienvironment around the process areas within the photolithography tools to protect them from contaminants in the ambient air, but the siloxanes and ammonia used in the tool can have dire consequences on a wafer or lens within the tool if they not controlled.

Once the wafers are outside of these controlled process steps, they may be placed into pods to protect them from harmful compounds, but the outgassing from the plastic used to make the pod may have a negative impact.

There are other steps in the process recipe that hold potential for trouble, such as the gate area, says Degenova, who predicts that this is where the next big contamination challenge will occur.

“You never solve a problem in a wafer fab,” Degenova says. “You just trade one problem for another.”

Tiny spaces dominate

To combat airborne contamination without exploding costs, the cleanroom industry is embracing the concept of multiple minienvironments or building bubbles of controlled filtered air around delicate process steps. “The theory is,” says Mark Johnson, associate vice president of the science and technology group for architectural engineering firm HGA (Minneapolis, MN), “if you solve AMC issues in the minienvironments, you can relax other air quality standards in the rest of the room.”


A pod, such as the one shown here, helps improve reticle safety and protect against harmful contaminants. Photo courtesy of Entegris, Inc.
Click here to enlarge image

It’s also a more cost-effective approach than bringing ultraclean levels to the entire ballroom.

Chris Muller, technical director for Purafil Inc., a maker of filters for airborne molecular contamination (Doraville, GA), has also witnessed this trend. He points out that, as the wafer environment shrinks and cost-cutting efforts grow, the focus of contamination control has centered on the environment immediately around the wafer and the process tool. “Controlling the cleanliness level of the whole fab for 100 percent coverage would require hundreds of air changes an hour,” he says. “That’s a huge energy impact.”

There are of course chemical filters that can protect an entire room and its tools, and some fabs do opt to implement varying levels of large-scale chemical filtration that include air washers and chemical filters in the make-up air handling units and chemical filters in the recirculating air system, along with chemical filters for the minienvironments and stockers, but it can be an expensive strategy.

In the meantime, most fab operators are focusing their efforts on the spaces in which the wafer or tools are at the greatest risk. For example, Muller regularly provides filters for etch areas where acids are used, and around photolithography tools to filter ammonia, which can affect the quality of resist patterns and the characteristics of the device.

This solution, however, also has its downside. The initial concern is that by creating highly controlled spaces around high-risk areas, fab operators have taken too lenient an approach to the ambient air in the cleanroom itself, leaving everything else in the space exposed. “They may take the minienvironment to a Class 1 [ISO 3], but relax the standards of the cleanroom to a Class 100 [ISO 5],” Muller says. That leaves the wafers in transfer, reticles or photomasks, and other mechanics of the cleanroom, exposed to less controlled air than was customary in previous fab environments.

“It’s causing a chain reaction of contamination problems, leading to the demand for filters on unique spaces, such as the wafer and reticle stockers,” Muller says.

Although in recent years chemical filtering has become more common as a side-by-side adjunct to HEPA or ULPA filters in certain environments, Muller points out that you can’t just pop a chemical filter into a space on an as-needed basis. “Everyone is looking for a way to retrofit and add chemical filters after the fact, but for a lot of these fabs it can’t be done. They need to be engineered into the equipment.”

Purafil works with some designers to incorporate filters into the equipment or to at least create spaces for filters so that equipment doesn’t need to be re-engineered later on.

However, as with all things in the fab, “we can only be reactive and provide customers with solutions that they ask for,” Muller says. Likewise, toolmakers are unwilling to build chemical filters into the tool-which would raise the price of the tool by 10 percent or more-until demand from their customers is there. “These tools are already so expensive, we’re not going to ask tool manufacturers to add filters that raise the price even further,” TI’s Degenova says.

Until manufacturers gather data showing that the lack of chemical filtration in a room or tool is creating a specific and ongoing impact to yield, it’s a problem that will continue to frustrate a lot of contamination control managers.

The problem is all around you

Of all the contaminants floating around the cleanroom, Degenova sees ambient air as one of his biggest challenges. “The wafer still sees more air than any other process fluid in the fab,” he says. “We never considered air as a process fluid in the past, but in reality, when the wafer sits in ambient air or is transferred through the fab, that’s a step in the process, whether it was intended to be or not.”

Ironically, the ambient air in the cleanroom is the least controlled chemical that comes in contact with the wafer. “For all our other chemicals, liquids and gases, we have incredibly tight specs to follow,” he says. “The one process fluid there are no specs for is ambient air.”

Degenova points out that, although the air in any wafer fab is scrubbed and filtered through air handling units and its pressure and movement are highly controlled, it’s not analyzed for chemical make-up prior to use as all other materials are. “There are no specs for air from a chemical standpoint.”

The ITRS has recognized this issue and updated its thinking on ambient air in the 2005 edition of the road map. The document now states that wafer environment contamination control should include the ambient space around the wafer, whether the wafers are open to the cleanroom air or stored in pods or front-opening unified pods (FOUPs).

However, Degenova notes that, even if the wafer is completely segregated from ambient air, most of the tools are still exposed, which has the potential to cause contamination problems and warranty issues.

Toolmakers turn the tables

For years, tool manufacturers have held sole responsibility for keeping their equipment clean and operating on behalf of clients. “Design of the tool is critical to ensure that viable contaminants can be controlled or eliminated,” HGA’s Johnson says. Finished surfaces, sealants, seals, gaskets and other elements of the tools that can either create or harbor contaminants have to be designed with much stricter controls than they were several years ago in order to meet the tighter specifications of the wafer manufacturers, and many fab owners require tools and tool parts to be assembled in a clean space as part of the specification.


A FOUP can help deliver higher yields by protecting wafers from particles, airborne molecular contamination, shock and vibration. Photo courtesy of Entegris, Inc.
Click here to enlarge image

When a problem arises, toolmakers have felt the strain of paying to make it go away, says Degenova. “We’ve always placed a lot of expectations on tool manufacturers that the tools come in clean to strict specifications or we won’t pay,” he says, adding that the burden to comply has traditionally fallen on the toolmakers’ shoulders. “If a lens needed replacing because of hazing after eight months, they paid for it. We’re the customers so the onus is on them.”

But that’s beginning to change, especially in the area of photolithography, which comprises some extremely expensive tools and parts, and where the process and the wafer are at the greatest risk for contamination. “Now the toolmakers are putting specs on us,” he says, adding that the air quality specifications they expect cleanroom operators to adhere to are extremely, perhaps even unreasonably, strict. The fine print, Degenova says, now stipulates that “if the air doesn’t meet their cleanliness levels, the warranty is no good. That’s very problematic for the industry.” His group is currently in discussion with toolmakers on how best to proceed with this new demand.

It’s nearly impossible, he says, not only to achieve and maintain toolmakers’ specs but also to monitor them, making it difficult to maintain or rely on warranties.

Even if you outfit an entire room with chemical filters, there are so many variables that it’s nearly impossible to constantly control or measure their impact on yield and air quality. “You can spend a million dollars on chemical filters to clean all of the air in the cleanroom, but how do you monitor whether [filters] are functional? There isn’t a lot of good data on how often to replace filters and what impact not replacing them has,” Degenova says. “Even if you know the filter’s lifespan for a standard state, what happens if an abnormal event occurs? Is the life of the filter spent? With so many variants it’s hard to be proactive.”

In general, Degenova thinks chemical filtering is a good idea, and he believes that eventually the cost-benefit ratio will be realized and more fabs will embrace the idea. “When not using chemical filtration has enough of a financial impact, then we’ll all start filtering our air.”

Real-time monitoring has complex goals

In addition to filtering, the industry is striving for better real-time chemical monitoring of the overall space and minienvironments. Affordable, accurate, repeatable, real-time sensors for non-particulate contamination are becoming increasingly necessary.

“As the list of ambient contaminants to be controlled broadens, one of the main challenges for the Wafer Environmental Contamination Control (WECC) sub-working group is to measure AMC at specified control levels in real time,” Purafil’s Muller says.

“It’s a huge challenge to integrate data from multiple sensors [so that] they correlate with steps in the process,” admits Bill Shade, director of engineering for Lighthouse Worldwide Solutions (Milpitas, CA)

In order to follow the wafer as it goes through production, Lighthouse has put a lot of effort into designing sensors that can be placed within vacuum ports or electrical lines inside tool chambers and then connected to software that is linked to the process recipe. The sensors monitor cleanliness levels, cross-contamination and by-products created by process steps to alert fab operators to problems and accumulate data for preventive maintenance.

“Sensors in the minienvironments give manufacturers the ability to go from lot-based control to wafer-based control, thereby improving yield,” Shade says. The connection between contamination monitoring and process movement enables operators to more quickly link a contamination incident to an area of the fab.

“That’s an added benefit of continuous real-time monitoring,” says Morgan Polen, vice president of applications technology for Lighthouse. “Having the data online and accessible 24 hours a day gives managers a broader range of information in real time so that they can respond to problems before they occur or as they occur, instead of reacting to their impact down the line.”

Lighthouse is working more and more with toolmakers to incorporate sensors or spaces for sensors into process tools. “It makes good business sense,” Polen adds.

Degenova looks forward to the day when monitors such as these deliver even more information, not only about changes in air quality, but about the particular make-up of the chemicals in the air. “Air monitors today are not sensitive enough,” he says. He points out that no single sensor monitors for everything. In particular, he says, there are currently no good monitors for phosphorus or boron.


Hazing can result from airborne molecular contamination. Show here, optical hazing on a final lens element. Image courtesy of Particle Measuring Systems.
Click here to enlarge image

“When you’ve got a contamination problem, the first thing you need to do is get a baseline so you can compare it to expected parameters and determine what external factors could be causing problems,” Degenova says. “I need to know what the chemical is so I can address it in real time. We have a long way to go before we achieve that.”

The future

Despite all the challenges, Degenova is very optimistic about the future of contamination control in his fabs. “In the past, all we had were problems, and no clue how to solve them. We know so much more today. We have acknowledged that ambient air is a chemical, it’s not benign, and that alone helps us figure out so much,” he says. “Today we include ambient air when we trouble-shoot and we’ve solved a lot of big problems that way.”

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.