At SPIE, SEMATECH reviews success stories in preparing EUV for manufacturing

February 28, 2007 — /BUSINESS WIRE/ — SAN JOSE, Calif. — SEMATECH’s program in developing solutions for extreme ultraviolet lithography (EUVL) infrastructure has brought the technology out of proof-of-feasibility and into the realm of identifying manufacturing challenges, participants at the annual SPIE Advanced Lithography conferences learned here yesterday.

During a review of the SEMATECH EUV program and accomplishments, SEMATECH lithographers recounted achievements in multiple areas of EUV, bringing it from a potential future lithography technique to the leading contender for commercial production of microchips at the 32 nm half-pitch technology generation and beyond.

However, they cautioned that significant challenges – including contamination issues, source reliability, and the demand for improved resists – must be solved to enable the successful introduction of reliable EUV beta tools by 2009.

“With the recent introduction of alpha tools, EUV lithography has reached an historic milestone,” said Stefan Wurm, EUV program manager for SEMATECH. “The challenge before us is to develop the critical infrastructure for beta tools, so that EUV can be evaluated in manufacturing pilot lines.”

Wurm and other SEMATECH leaders spoke to more than 300 attendees at SPIE’s Emerging Lithographic Technologies XI conferences, one of several multi-day meetings of SPIE – the International Society for Optical Engineering. They described a series of SEMATECH-led achievements that has helped make EUV a high-volume manufacturing (HVM) lithography candidate with the potential of being available for the 32 nm half-pitch generation.

In brief, SEMATECH, working with its industry partners, has demonstrated new results to build on many earlier reported success stories:
*Achievement of nearly defect-free reticle handling for shipping, long-term storage, and vacuum transfer through demonstration of a dual pod reticle container. EUVL reticles, which do not use pellicles, require such a novel handling solution.

*Cleaning of 30 nm defects from EUVL mask blanks at good removal efficiency, which is essential to developing and demonstrating defect-free EUVL mask blanks

*Demonstration of capability for optical and actinic inspection tools to find EUV phase defects. New advancements in defect detection technology are required to meet production targets, and SEMATECH has a unique combination of optical and actinic inspection capability.

*SEMATECH, through multiple sites, provided most of the exposure capability to member companies and resist suppliers developing imaging materials for EUVL that have recently demonstrated <30 nm half-pitch imaging. Rapid cycles of learning are required to ensure that resist materials are ready for 32 nm half-pitch HVM. In addition to exposure capability, SEMATECH has formulated a best-known-method for measuring EUV resist outgassing, based on a methodology established in conjunction with the College of Nanoscale Science and Engineering of the University at Albany. *SEMATECH has shown that it can detect <40 nm mask blank defects, which was enabled by a joint development program of the Lasertec M7360. This will enable further progress in defect-free mask blank production and reticle handling.

Encouraging as these results are for SEMATECH members and the litho industry, SEMATECH identified further challenges for making EUVL manufacturing ready. Among them are:
*Solving reliability issues and improving cost of ownership (COO) for EUV sources. Within a year or two, sources exceeding 60 watts must be made to operate continuously for several months, with uptime and COO comparable to those of optical litho sources.

*Design, build and commercialize a third-generation mask blank defect inspection tool to meet the emerging requirements of 32 nm half-pitch manufacturing.

*Continuing to develop ever-more efficient mask cleaning technologies, including commercialization of localized cleaning techniques currently being developed.

*Producing an affordable, stand-alone EUV interference lithography (IL) tool that suppliers can use to develop advanced resists at their own facilities. This would enable screening within one or two years of the most promising resist platforms for 22 nm half-pitch lithography.

“These are big challenges but the industry has climbed taller mountains before,” said Michael Lercel, SEMATECH lithography director. “The SEMATECH EUV Program, based on strong partnerships with the industry, continues to be the single most important industry resource for enabling advanced lithography infrastructure. For EUV lithography to be successful, the infrastructure is the key enabling element. That is what will make or break it as a manufacturable technology.”

For 20 years, SEMATECH(r) (www.sematech.org) has set global direction, enabled flexible collaboration, and bridged strategic R&D to manufacturing. Today, we continue accelerating the next technology revolution with our nanoelectronics and emerging technology partners.

Contacts
SEMATECH Dan McGowan, 512-426-8194 [email protected]

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.