Equipment galore at SPIE 2007

by M. David Levenson, Editor-in-Chief, Microlithography World

The traditional Exposure Systems and Components session on the last day of the SPIE Advanced Lithography Symposium gave vendors the opportunity to tout their latest hyper-NA immersion scanners and supporting technologies.

Jun Ishikawa of Nikon reported the latest 55nm production statistics obtained with the company’s NSR-609B. The total CD uniformity now ranges between 0.7 and 1.06nm (3 sigma) with single tool overlay (m + 3 sigma) <7nm and <12nm overlay matching with dry NSR-308F scanners. Ishikawa pointed out that these values imply that evaporative cooling of the wafer is now negligible, even at 130wph throughput. Topcoat-less resists gave slightly better defect performance than developer-soluble topcoats, with defectivity <0.04/cm2 over 3 months.

The first of Nikon’s 1.3NA NSR-610C tools has been shipped, reported Ishikawa. With dipole illumination, it had produced 39nm half-pitch line-space patterns over a 900nm depth of focus. With cross-pole illumination, 43nm line-space patterns could be printed in both orientations with a 2.3nm (3 sigma) pooled CDU. Six lenses have been produced with 1.5% flare (better than the dry 308F) and illumination uniformity <±0.1% even in extreme geometries.

Jos de Klerk of ASML countered with early results from their XT:1900Gi, which has 1.35NA and can print 36.5nm line-space patterns at 600mm/sec stage speed, but with deleterious line-edge roughness. De Klerk reported a stray light level <0.4% in a 3 micron dark target and CDU of <2nm (3 sigma) for 40nm V & H oriented L/S patterns with cross-pole illumination. Single tool overlay was <5.5nm at 600mm/sec stage speed (131wph), with <8nm overlay mismatch to dry exposure tools. The <7nm iso-dense focus shift did not vary with tool use, implying that lens heating was not a problem. Comparing forward and reverse scans of a wafer in a single chuck implied an overlay error of <3nm for double-patterning applications.

The more established XT:1700i (with 1.2NA) meets production wafer defectivity levels for 45nm patterns at 0.03/cm2 and 0.07/cm2 in Sokudo and TEL tracks, respectively, according to de Klerk. Since the illumination systems are matched, the OPC treatments will not have to change when production is moved from a 1700i to a 1900i or vice versa.

Paul Hinnen presented a new, more flexible alignment sensor that ASML will use to replace its ATHENA system. The new SMASH sensor that has been used on 1250i and 1400i systems employs an interferometer with a single detector and extracts the alignment signal in software, unlike the ATHENA, which directs each of seven diffraction orders to a dedicated detector. The new system allows smaller and more flexible mark design. It also employs four different wavelengths, two of them in the infra-red, where hard-mask processes are less likely to adversely affect signal strength. In a collaboration with Toshiba, ASML explored different alignment mark designs and showed stability <9nm over 42 days with the most promising ones.

Hiraoki Kubo of Canon described the capabilities of the company’s new FPA-7000 exposure platform, which will come with either a dry (AS5) or immersion (AS7) ArF projection lens, both shipping this year. Later versions will include KrF exposure and possibly high refractive index fluid immersion. The new platform will also be compatible with small alignment marks and will incorporate in situ metrology and optimization software for tool parameters. The Canon liquid film flow nozzle operates at low pressure with the water flowing in a single direction, minimizing temperature excursions and particle transport, according to Kubo. With topcoat-free resist, defect levels were <0.03/cm2 for 14 wafers. All immersion stepper vendors claim to have eliminated “immersion-specific” defects.

Both Cymer and Gigaphoton described 60W ArF excimer lasers intended for high-NA immersion lithography. Cymer announced that its first XLR500i had been shipped to Nikon to be mated with a soon-to-be shipped tool. The XLR500i employs a saturated recirculating ring amplifier to extract the most energy from the gas and maximize pulse stability. Innovations include 10X gas lifetime extension software (GLX) and automatic bandwidth stabilization (ABS). A 90W version for double patterning will come soon, according to Daniel Brown of Cymer.

Toru Suzuki described the Gigaphoton GT61A ultra-line narrowed (E95 =0.25pm) laser intended for >1.3NA applications. Gigaphoton’s new lasers employ an injection-locked oscillator configuration and incorporate acoustical damping to keep parameters from changing with repetition rate. All lasers are exactly the same by construction, facilitating company-wide replication of processes, he reported. A bandwidth control module was described that allows the laser spectrum to be broadened or narrowed by altering the divergence of the beam at the diffraction grating of the master oscillator. – M.D. L.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.