Report from SPIE: Optics lives, but for how long?

Each year, experts gather at the SPIE Advanced Lithography Symposium in San Jose to report on their pursuit of Moore’s Law. Every two years, a new node in the ITRS is reached, and 2007 is the year for 45nm technology production. Many presentations at SPIE showed the 45nm node in production, as scheduled, using 193 immersion lithography, while other presentations looked further ahead to the 32nm node in 2009, the 22nm node in 2011, and beyond.

Each year at the conference, the demise of optics is anticipated, and as such, much attention is given to the likely replacement technology. As the years pass, this scene repeats, while optics continues to do the real work. This year was no exception.

Optics update
Optics using 193nm wavelength light, water immersion, and higher numerical aperture lenses (1.30 to 1.35 NA) are moving into production at IBM, TI, Intel, TSMC, and other sites to produce chips that meet 45nm node requirements. ASML says they have now shipped 36 immersion systems. Nikon has shipped a few. (Results of Nikon’s NSR-S610C, an ArF immersion scanner, with a 1.30 NA projection lens and POLANO polarization technology, is shown in the figure above.) And Canon is not far behind, with a new 7000 platform. Resist images for immersion images at 45nm half pitch looked great.

Defects found on production wafers processed with 193i received a lot of attention this year. Each IC manufacturer and each tool supplier has been looking very carefully at defects. All report that defect levels are the same as for dry lithography, in the range of single digits per square centimeter. It is clear from these talks that the resist topcoat (TC) is a source of added defects and that the added process steps add particles.

A new kind of TC blister was also reported. Water appears to penetrate the topcoat, creating a dome shape in the TC, deflecting the image so lines are not well formed. Immersion processes without a TC reported fewer defects. For some groups, their TC appears to allow the quencher to leach into the water. When a small droplet is left on a wafer, which happens still, the quencher appears to concentrate in one spot, preventing development of an image. Clearly, more work remains to be done on immersion defects. A process that works without TC is clearly better. But defect levels for immersion lithography are low enough to permit high-volume manufacturing.

Most people at this year’s conference expect optics will be pushed to the 32nm node in a couple of years. Double patterning is the preferred method. SEM pictures show a dramatic improvement in SRAM structures when a second exposure is used to form the line ends. IC makers complain about doubling mask costs. But this complaint does not appear to be realistic. Only a few layers, approximately 20% of the total number of layers, will be printed at the leading edge. Mask costs vary with writing density. A less dense mask (half the pattern is on the companion mask) should cost less. The toolmakers are planning faster tools. The net result may be an increase in lithography costs of 10% or less. This has never stopped progress before. Not all present designs can be divided into two mask patterns. Phase shift masks faced a similar problem a few years back. But a combination of improved design software and changed circuit designs solved this problem for phase shift masks. Expect a similar solution for double-patterning masks.

Overlay is the major challenge for double patterning. ASML has added a new alignment capability “SMASH” to its immersion tool, the XT 1900i. Overlay on one chuck on one machine repeats to 1nm 3-sigma. This is an impressive result. SMASH uses seven orders of diffraction. The pupil filter has been changed, so different alignment marks can be used. Smaller area marks appear to be what IC makers want. An infrared wavelength has been added so that SMASH can work with very thin hard masks that are a part of most double patterning processes. ASML and Nikon reported that overlay on real process steps is somewhat larger, between 5 and 10nm. Clearly, some applications challenges remain to reach CD uniformity goals with double patterning.

Very high-NA imaging may replace double-patterning methods with single-exposure methods as the 32nm process ramps. This hope remains. The work on intermediate Gen 2 solutions appears promising. Both Gen 2 high index fluids that replace water and 1.65NA lenses look feasible, but these advances may fall short of the need. The k1 factor falls to 0.28 for a 1.70NA lens at 193nm. Wavefront errors in lenses, illuminator uniformity, and excimer laser performance all continue to improve.

But these incremental gains may not be enough to provide a real process window for single exposure. Clearly IC makers want more. They want Gen 3 high-index fluids and very high-NA lenses. For example, a 1.90NA lens would move k1 up to 0.315, about what 45nm tools are providing. Schott Lithotec reported that it has grown LuAG crystals at 80mm diameter, and is on schedule to deliver 250mm diameter lens quality material in the 1H09. This schedule puts single exposure for the 32nm node at risk. Even if the LuAG material really is lens quality in 2009, the first tools will not ship until 2011. This is two years after the planned introduction of 32nm.

In his keynote speech, George Gomba of IBM said he expects optics to be extended to the 22nm node using all the tricks available, including IBM’s proprietary modeling capability and double patterning (45/2 = 22.5). IBM has already developed computer models that let its engineers anticipate tool performance and develop process recipes before tools are delivered.

Replacement technology
EUV is now the expected replacement for optical lithography. ASML and Nikon expect EUV production tools will be introduced at the 32nm node and ramped in production at the 22nm node. Canon said it will evaluate choices in 2007, but it sees much the same outlook. ASML showed images of 32nm contact holes made on a EUV tool. But the future does not look as good as EUV champions proclaim.

EUV resist images, and there were many at this conference, do not look good below 40nm. There is a lot of line edge roughness and micro-bridging in almost all images. And the resist images that do look good are made with materials that are 5X too slow. Rohm & Hass suggested that EUV resist that meets CD and LER goals may not exist below 20mJ/cm2. With interference tools, small field tools, and a few full-field tools now available, the resist companies can get all the experimental time they need. Still, it’s unlikely a good EUV resist will be ready by 2009.

The EUV source has been another show-stopper. All systems in the field are using a dense pinch plasma (DPP) source. None of these work reliably. In fact, the IMEC alpha tool was delivered without a light source. A significant increase in source power is needed to meet throughput and COO goals. There is hope. Both EUVA and Cymer reported good progress with CO2 pulsed lasers and tin (Sn) droplet-type LPP sources. Their roadmaps promise up to 200W of 13.5nm light at the intermediate focus in just a few years. Maybe next year, the source solution will be clear.

EUV mask errors, defect levels, and overlay challenges are serious issues. But steady progress is being made. For example, better defect detection tools are coming. KLA-Tencor is making an e-beam based, die-to-die defect scanner.

At this year’s SPIE, there was general agreement that optical technology will meet the needs of the 32nm node by using 193 immersion, higher NA, and double exposure. EUV is going to miss the 32nm node, and the lithography choice for 22nm is not clear. The hurdles for optical lithography are challenging; EUV is the likely replacement technology. The familiar scene repeats: optics will do the next node; a replacement technology is wanted for the node after that. Some things never change. – G.R.

POST A COMMENT

Easily post a comment below using your Linkedin, Twitter, Google or Facebook account. Comments won't automatically be posted to your social media accounts unless you select to share.